Hoofdstuk 2: De logische talstelsels 33

Maat: px
Weergave met pagina beginnen:

Download "Hoofdstuk 2: De logische talstelsels 33"

Transcriptie

1 5 Inhoudsopgave Hoofdstuk 1: Er was eens... Booleaanse Algebra : De operatoren : De basiswetten : De basisregels : Bewijsvoering : Uitgebreide regels : Commutativiteit : Associativiteit : Distributiviteit : Absorptie : De wetten van De Morgan : Overzicht van de Rekenregels : AND met een constante : OR met constante : Inverter : Bewerkingen met eigen inverse : Commutativiteit : Associativiteit : Distributiviteit : Absorptie : De Morgan Fout! Bladwijzer niet gedefinieerd. Hoofdstuk 2: De logische talstelsels : Het binaire stelsel : Binaire notaties : Tellen in binair : Speciale binaire codes : Het hexadecimale talstelsel : Tellen in HEX : Conversie van talstelsels : Conversie van en naar binair : Conversie van en naar HEX : Conversie tussen HEX en binair : Octaal en andere talstelsels : Notatie van de base 43

2 6 Hoofdstuk 3: Logische vergelijkingen : Eenvoudige vergelijkingen : Waarheidstabellen : Opstellen van de ingangscombinaties : Het invullen van de uitgangscondities 46 Hoofdstuk 4: Reductie van logische vergelijkingen : Booleaanse algebra en De Morgan : Karnaugh kaarten : Opbouw van de kaart : Invullen van de kaart : Oplossen van een KV kaart : Karnaugh voor nultermen : Quine-McCluskey : Het QM Algoritme : Vaststellingen 58 Hoofdstuk 5: De basispoorten : De grondpoorten : NOT : AND : OR : Afgeleide poorten : NAND : NOR : XOR : XNOR : Majority gate : Transponeren van poorten : Samenvoegen en splitsen van poorten : Positieve logica ten opzichte van negatieve logica : Overzicht van de basispoorten 75 Hoofdstuk 6: Combinatorische systemen : Encoders en Decoders : Encoder : Priority encoder : Decoder 86 Van 0 en 1 tot FPGA

3 : Transcoders : Praktische methode om snel transcoders te maken : Vaststellingen : Multiplexers en de-multiplexers : Multiplexers : Demultiplexers : Rekenkundige circuits : Adder-schakelingen (optellers) : Look Ahead adder : Subtractors (aftellers) : Multipliers (vermenigvuldigers) : Andere types multipliers : Dividers (delers) : Code convertoren : Comparators : De simpele comparator : De magnitude comparator : Parity en Parity generatoren : Odd/Even detector : Bytewise parity : Parity correction : Look up tables : Implementatie van logica door middel van LUTs : Speciale poorten : Tristate gates : Bus multiplexing : Bus Switches : Analoge switches : Expandable poorten : Open Collector / Drain / Emittor / Source : Wired logica 137 Hoofdstuk 7: Geheugenelementen : De RS flipflop : De transformatie naar identieke poorten : De RST flipflop : De Latch : Foutloze RS flipflop 145

4 8 7.5 : Geavanceerde flipflops : Flankgestuurde flipflops : De JK flipflop : Afwijkende JK flipflops : Foutcondities van een JK flipflop : Flipflops met asynchrone set en reset ingangen : De JK flipflop als universele bouwsteen : Speciale flipflops : Dubbel geclockte flipflops : NOT keten flipflops : Flipflop symbolen : Praktische flipflops : Toepassingen 159 Hoofdstuk 8: Ontwerpen met geheugenelementen : Registers : Transparante registers : Edge triggered registers : Praktische registers : Schuifregisters : Serial In / Parallel Out : Parallel in Serial out : First In, First Out / FIFO : Last in, First out / LIFO : Praktische toepassing van schuifregisters : Schuifregisters anders gemaakt : Tellers : Teller definities : Asynchrone tellers : Synchrone tellers : State counters : Praktische tellers : Delers : Binary Rate Multipliers : Waarom BRM generatoren beter werken dan PWM : LFS registers en PRBS generatoren 206 Van 0 en 1 tot FPGA

5 8.7 : State machines : Het bubble of state diagram : Moore machines : Mealy machines : Implementatie van machines : Multiphase generatoren : Non-overlapping generatoren : Overlapping generatoren : Het maken van Multiphase generatoren : PLL circuits : De fase comparator : De deler : De referentieoscillator : Praktische PLLs: De 4046 en : PLL in FPGA : Synchronisers : Synchroniseren van signalen : Gevaren van synchroniseren : Clock synchronisers : Debouncers : RS flipflop als debouncer : Shifter loop : Counter loop : Analogische debouncer : Edge detectoren : Stabiele edge detector : Detecteren van beide edges : Memory elementen : RAM : ROM : Non Volatile RAM : Multiport : Dual Port 250 Hoofdstuk 9: Vaste logica families : RTL en DTL : RTL : DTL : TTL 255 9

6 : ECL : NMOS : CMOS : I2L : GTL / BTL : Overzicht van de gangbare families : Verpakkingen : DIL / DIP : PGA : LCC / PLCC : SOJ : SO / SOP / TSSOP : QFP / TQFP : BGA : QFN : Chipscale verpakking (flipchip) 269 Hoofdstuk 10: Ontwerpen met echte logica : Logische levels en de verboden zone : De uitgangsniveaus : De ingangsniveaus : De verboden zone : De uitgangsstroom : Rise- en Fall-time van signalen : Fan-in en fan-out : Fan-out : Fan-in : Wat moet je er mee : Statisch en Dynamisch Stroomverbruik : Bipolaire technologie (TTL) : CMOS : Propagation delay : Setup en Hold-time : Race condities : Het EXOR drama : Glitch free EXOR 280 Van 0 en 1 tot FPGA

7 10.8 : Metastabiliteit : Ground bounce : Ringing, Overshoot en Undershoot : Terminatieweerstanden : Serieweerstanden : Bord layout : Voedingen : Basisprincipe van een regelaar : Impulsgedrag : Derating van condensatoren : Vuistregels : Bescherming van de regelaar : Bord layout voor voedingsdistributie : Scheiding van kritische voedingen : Digitaal versus analoog domein 302 Hoofdstuk 11: Het lezen van een datasheet : De Marketing informatie : De juiste datasheet : De elektrische parameters : Functionele parameters : Pinaansluitingen : Werktemperatuur : Operating conditions : Absolute maximum ratings : Typical performance characteristics : Thermische informatie : DC parameters : AC of switching parameters : Package informatie : Applicatie informatie : Bord layout informatie : Software voorbeelden 308 Hoofdstuk 12: Logische circuits maken met klassieke bouwstenen : Overzicht van de belangrijkste 74xx bouwstenen : Invertoren : Basispoorten : XOR en comparatoren : Decoders

8 : Buffers : Flipflops : Schuifregisters : Counters : Display Drivers : BUS registers : BUS drivers : Monoshots : Overzicht van de belangrijkste 4xxx bouwstenen : Invertoren : Basispoorten : Analoge multiplexers : Display Drivers : Flipflops : CMOS tellers : Tips en tricks : Betere display drivers : Pin compatible Schmitt-trigger : Alternatieve buffers : Singlegate logica : Bundelen van bussen : Mengen van families : Losse transistoren : Pull-up / pull-down 327 Hoofdstuk 13: Interface met de buitenwereld : Ingangscircuits : Level Shifting : Debouncing (ont-dendering) en filtering : Beveiligen van ingangen : Uitgangscircuits : Spanningsaanpassing (level-shifting) : Stroomaanpassing : Beveiligen van uitgangstrappen : Galvanisch isoleren : Optocouplers : Capacitieve couplers : Inductieve couplers : Bord lay-out voor protectie : Scheiden van gevaarlijke en veilige signalen : Component keuze : Voorbeeld 348 Van 0 en 1 tot FPGA

9 Hoofdstuk 14: Analogische circuits Schmitt-triggers : Delay en impuls generatoren : Monoshot : Hertriggerbare Monoshot : R/C netwerken : Oplossingen in het digital domain : Oscillatoren : Ringoscillator : R/C oscillator : Kristaloscillator : NE555 Universeel timing component : 555 als Monoshot : 555 als Monoshot (herstartbaar) : 555 als oscillator : Analoog digitaal omzetters : Flash convertor : Successive approximation : Integrating ADC : Sigma Delta : Digitaal analoog omzetters : Thermometer DAC : R-2R DAC / Resistor ladder DAC : Binary weighted DAC : PWM en BRM DAC 370 Hoofdstuk 15: Programmeerbare logica : Geschiedenis : Types : FPLA : PAL : GAL : EPLD : CPLD : Gate Array : FPGA : Hybride componenten

10 14 Hoofdstuk 16: Ontwerpen met PLDs en FPGA : Voeding : Multivoltage IO circuits : Busvoorzieningen : Programmering : Programmeervoorziening van een Altera device : Altera Programmer : Clock voorziening : Oscillator modules : Zelfbouw oscillatoren 392 Hoofdstuk 17: Synthesetalen : Geschiedenis van de synthesetalen : PALASM : ABEL : CUPL : AHDL : Verilog : VHDL : Werking van een synthesizer : Synthese problemen : Ontwikkelsystemen 401 Hoofdstuk 18: Quartus tutorial : Installatie : Installeren : Licentie installatie : Firewall instellingen : Opstarten : De eerste start : Aanmaken van een project : Projectbeheer : De design omgeving : Aanmaken van het eerste blok : Soorten Design files : Andere files 417 Van 0 en 1 tot FPGA

11 18.6 : Ontwerpmethodologie : Top down hiërarchisch ontwerp met toplevel schematic : Aanmaken van het toplevel : Tekenen van een schema : Componenten plaatsen en verplaatsen : Verbinden van componenten : Maken van subcircuits : Aanmaken van een HDL bestand : Schrijven van code : Device assignment : De eerste compilatie : Pin assignment : Simulatie : Aanmaken van de simulatie file : Toevoegen van signalen : Monitoren van interne knopen : Aanmaken van waveforms : Speciale functieblokken : Counter Megafunction : Configureren van een PLL 456 Hoofdstuk 19: Verilog in een notendop : Verilog modules : Whitespace en commentaar : Logische niveaus : Logische x : Logische z : Signalen : Enkelvoudige signalen : Signaal vectoren : Praktisch gebruik van Reg en Wire : Definiëren van in- en uitgangen : Reg en Wire : inout : Basisoperatoren in Verilog : Logische operaties

12 : Reductie operatoren : Afgeleide reductie operatoren : Relationele operatoren : Rekenkundige operaties : Notatie van getallen : Optellen en aftellen : Delen en vermenigvuldigen : Andere manipulaties op vectoren : Schuifoperaties : Maken van deelvectoren : Groeperen van signalen : Replicatie van signalen : Conditionele operator : Basisconstructies in Verilog : Assign : Blocking en non-blocking Assignments : Always : Sensitivity lists : Sensitivity voor asynchrone signalen in synchroon blok : Beslissingslogica : If-then-else : Case statement : Scheduling : Defines : Verilog : Arrays en multidimensionele arrays : Signed getallen : Module definitie : Automatisch creëren van nets : Andere elementen : Verilog : Always_ff : Always_comb : Always_latch : Instantieren van deelcircuits : Ingebakken primitieven : Instantieren van een primitieve : Instantiering van een eigen primitieve : Verbinden met symbolische namen 501 Van 0 en 1 tot FPGA

13 19.16 : Praktische systemen in Verilog : Combinatorisch blok : Sequentiële logica : Een Read/Write schuifregister, met uitgangsregister : State machine 508 Hoofdstuk 20: VHDL in een notendop : VHDL Modules : Whitespace en commentaar : De standaard bibliotheken : Logische niveaus : Logische x : Logische z : Signalen : Signaal Vectoren : Variabelen : Het aanmaken van de Entity : De poorten van een entity : Basisoperatoren in VHDL : Logische operaties : Afgeleide operatoren : Relationele operatoren : Rekenkundige operaties : Notatie van getallen : Conversie van getallen : Optellen en Aftellen : Delen en vermenigvuldigen : Modulo en remainder : Andere manipulaties op vectoren : Schuifoperaties : Roteren van vectoren : Maken van deelvectoren : Groeperen van signalen : Constanten en Aliassen : Basisconstructies in VHDL : When : With-select

14 : Process : Beslissingslogica : If-then-else : Case statement : Latches : Edge gevoelige processen : Scheduling : Instantieren van deelmodules in VHDL : Instantieren van deelmodules : Praktische systemen in VHDL : Combinatorisch blok : Sequentiële logica : Een Read/Write schuifregister, met uitgangsregister : State machine 546 Hoofdstuk 21: Implementatie van Logica in PLD : Het project : Schematisch ontwerp : Display sturing : De deler : De tellers : De alarmfunctie : Toplevel : Verificatie : Verilog ontwerp : Display sturing : De deler : De tellers : De alarmfunctie : Toplevel : VHDL ontwerp : Display sturing : De deler : De tellers : De alarmfunctie 592 Van 0 en 1 tot FPGA

15 Hoofdstuk 22: Fusemap creatie en programmering : Finale Device Selectie : Pin toekenning : Fusemap creatie : Programmering 598 Hoofdstuk 23: Experimenteerplatformen : Terasic Max II Micro Kit : Elektor FPGA board : Andere platformen

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher.

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher. De Backer, Kris / Kenens, Liesbeth Digitale Systemen / Kris De Backer & Liesbeth Kenens; Geel: Campinia Media vzw, 2004-2de druk sept. 2005; 216 p;index; 25,5 cm; gelijmd. ISBN: 90.356.1184.5; NUGI 854;

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten 2018/2019 Elektrotechniek Semester 1.2 Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Wasif

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 12 November 2013

Processoren. Marc Seutter & David N. Jansen 12 November 2013 Processoren Marc Seutter & David N. Jansen 12 November 2013 Leerdoel opbouw van de hardware in een computer je construeert een (eenvoudige) processor je schrijft een (kort) assembly-programma je kunt uitleggen:

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 3: Eenvoudige externe hardware & hardware programmeren in C Hardware programmeren in C Inmiddels ben je al aardig op gang gekomen met het programmeren van microcontrollers.

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 10 November 2014

Processoren. Marc Seutter & David N. Jansen 10 November 2014 Processoren Marc Seutter & David N. Jansen 10 November 2014 Leerdoelen Inzicht krijgen in de opbouw van de hardware van een computer en de instructies van een processor. je construeert een (eenvoudige)

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 17 2 Elementaire bewerkingen In dit hoofdstuk leer je hoe werken met binaire getallen en hexadecimale getallen omgezet wordt naar een decimaal getal en omgekeerd. Vervolgens

Nadere informatie

Jan Genoe KHLim. VHDL Inleiding. In dit hoofdstuk situeren we het steeds toenemende belang van VHDL in het elektronisch ontwerp.

Jan Genoe KHLim. VHDL Inleiding. In dit hoofdstuk situeren we het steeds toenemende belang van VHDL in het elektronisch ontwerp. inleiding Inleiding Jan Genoe KHLim In dit hoofdstuk situeren we het steeds toenemende belang van in het elektronisch ontwerp. 1 inleiding Doelstellingen en behandelde topics doelstelling: de voornaamste

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Inhoud. 1 De Microcontroller 1. 2 De taal C Declaraties Functies In- en uitvoer Voorwaardelijke opdrachten 41

Inhoud. 1 De Microcontroller 1. 2 De taal C Declaraties Functies In- en uitvoer Voorwaardelijke opdrachten 41 Inhoud 1 De Microcontroller 1 1.1 Embedded Systemen............................ 1 1.2 De architectuur van de microprocessor en de microcontroller. 4 1.3 Geheugens en geheugenstructuur...................

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 19 2 Elementaire bewerkingen 1 BINAIRE GETALLEN In het vorige hoofdstuk heb je gezien dat rijen bits worden gebruikt om lettertekens, getallen, kleuren, geluid en video

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Hoofdstuk 7. Computerarchitectuur

Hoofdstuk 7. Computerarchitectuur Hoofdstuk 7 Computerarchitectuur 1 controlebus CPU MEMORY I/O databus adresbus Figuur 71 Schematische opbouw van een computersysteem 8 Figuur 72 Een busverbinding Buslijn Out E A In Out E B In Out E C

Nadere informatie

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw 2018/2019 Elektrotechniek Semester 1.1 Studiewijzer Inleiding Digitale Techniek (E-INLDIG-13 ) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Ben

Nadere informatie

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Computersysteem Een systeem dat rekenkundige operaties, data manipulaties en beslissingen kan uitvoeren, aan de hand

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Logische poorten. Invertor (NOT) Samenvatting Computer Architectuur 2006-2007. Hoofdstuk 7 Klaas Lauwers 1

Logische poorten. Invertor (NOT) Samenvatting Computer Architectuur 2006-2007. Hoofdstuk 7 Klaas Lauwers 1 Logische poorten and or Xor (=EOF) buffer (signaal versterken over lange afstand) Invertor (NOT) nand nor xnor Hoofdstuk 7 Klaas Lauwers 1 De Morgan Boolese Algebra Transistorniveau Transistor - Het is

Nadere informatie

Basisschakelingen en poorten in de CMOS technologie

Basisschakelingen en poorten in de CMOS technologie asisschakelingen en poorten in de CMOS technologie Jan Genoe KHLim Universitaire Campus, Gebouw -359 Diepenbeek www.khlim.be/~jgenoe In dit hoofdstuk bespreken we de basisschakelingen en poorten in de

Nadere informatie

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1 Today s class Digital Logic Friday, October 19, 2007 Computer Architecture I - Class 8 1 Digital circuits Two logical values Binary 0 (signal between 0 and 1 volt) Binary 1 (signal between 2 and 5 volts)

Nadere informatie

Labo Digitale Systemen

Labo Digitale Systemen 6 labozittingen: 1u30 Permanente evaluatie, laatste labozitting evaluatie (+ files afgeven) Cursus: http://telescript.denayer.wenk.be/~kvb/labo_digitale_systemen Wat? Implementatie van een parametrische

Nadere informatie

Ontwerp van digitale systemen. in VHDL

Ontwerp van digitale systemen. in VHDL Ontwerp van digitale systemen in VHDL Luc Friant Inhoud - 1 - Inhoud - 2 - Inhoud Voorwoord 1. Hoofdstuk 1 Algemene structuur in VHDL 2. Hoofdstuk 2 De beschrijving van sequentiële logica in VHDL 3. Hoofdstuk

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

Inhoud Auteurs IV Woord vooraf V

Inhoud Auteurs IV Woord vooraf V VII Inhoud Auteurs IV Woord vooraf V 1 Inleiding tot de automatiseringstechniek 13 1.1 Inleiding 13 1.2 Digitale en analoge automatisering 15 1.3 Uitvoerorganen 17 1.3.1 Hydraulische uitvoerorganen 17

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Getallenrepresenta*e. Processen en Processoren 7 februari 2012 Getallenrepresenta*e Processen en Processoren 7 februari 2012 Vrijwilligers voor dinsdagmiddag werkcollege ca. 17 studenten dinsdagmiddag 15.45, ca. 33 studenten woensdagochtend 10.45 bonusregeling Als

Nadere informatie

Analoge en Digitale Elektronica

Analoge en Digitale Elektronica Analoge en Digitale Elektronica 14 september 2007 1 2 de zit 2006-2007 Bespreek het potentiaalverloop en de stroomcomponenten doorheen een PN junctie in ongepolariseerde toestand, bij voorwaartse polarisatie,

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken.

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken. Talstelsels 1 Algemeenheden Digitale systemen werken met nullen en enen omdat dit elektronisch gemakkelijke te verwezenlijken is. De transistor kent enkel twee toestanden (geleiden of sperren) Hierdoor

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Hoofdstuk5. 1 Hoofdstuk5: Praktische realisatie van logische schakelingen. Peter Slaets () Digitale en analoge technieken October 6, 2005 1 / 19

Hoofdstuk5. 1 Hoofdstuk5: Praktische realisatie van logische schakelingen. Peter Slaets () Digitale en analoge technieken October 6, 2005 1 / 19 Hoofdstuk5 1 Hoofdstuk5: Praktische realisatie van logische schakelingen Inleiding Bestaande poortschakelingen Hoog- en laagactieve signalen Poorten en hun waarheidstabel Praktische realisaties Ingangsschakelingen

Nadere informatie

Technische Opleidingen

Technische Opleidingen Technische Opleidingen Elauma Waagmeester Technische opleidingen Niet alleen bij nieuwbouw, maar ook bij revisies en storingen is het belangrijk om voldoende kennis van de verschillende systemen in huis

Nadere informatie

Elektronica monteur, Technicus Elektronica

Elektronica monteur, Technicus Elektronica Elektronica monteur, Technicus Elektronica Patrick De Locht Business Developer SYNTRA Limburg vzw Versie Mei 2016 Patrick.delocht@syntra-limburg.be 1 Beschrijving traject Heb je al langer zin om je te

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

Wat is Arduino? Arduino = microprocessor (Atmel)

Wat is Arduino? Arduino = microprocessor (Atmel) Intro tot Arduino Wat is Arduino? Volgens de website: Arduino is an open-source electronics prototyping platform based on flexible, easy-to-use hardware and software. It's intended for artists, designers,

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

OPDRACHTEN PRACTICUM DIGSE1. J.E.J op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 19 maart 2016 J.E.J.opdenBrouw@hhs.

OPDRACHTEN PRACTICUM DIGSE1. J.E.J op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 19 maart 2016 J.E.J.opdenBrouw@hhs. OPDRACHTEN PRACTICUM DIGSE1 J.E.J op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 19 maart 2016 J.E.J.opdenBrouw@hhs.nl Inleiding Het practicum is zodanig van opzet en moeilijkheidsgraad dat

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college EE4: igitale Systemen BSc. EE, e jaar, 22-23, 4e college Arjan van Genderen, Stephan Wong, Computer Engineering 2-2-23 elft University of Technology Challenge the future Mededelingen Volgende week tijdens

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

Arduino CURSUS. door Willy - 09-juni-2017

Arduino CURSUS. door Willy - 09-juni-2017 Arduino CURSUS door Willy - w2@skynet.be, 09-juni-2017 OVERZICHT (1) OVERZICHT (2) Historiek Microcontroller (1) Microcontroller (2) Specificaties - Arduino UNO bord Specificaties - ATmega328P chip LET

Nadere informatie

Inhoud. 1 De Microcontroller 1. 2 De taal C C in het kort Functies 31

Inhoud. 1 De Microcontroller 1. 2 De taal C C in het kort Functies 31 Inhoud 1 De Microcontroller 1 1.1 Embedded Systemen............................ 1 1.2 De architectuur van de microprocessor en de microcontroller. 4 1.3 Geheugens en geheugenstructuur...................

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (2)

De Arduino-microcontroller in de motorvoertuigentechniek (2) De Arduino-microcontroller in de motorvoertuigentechniek (2) E. Gernaat (ISBN 978-90-79302-11-6) 1 Procescomputer 1.1 Microprocessoren algemeen De informatie-verwerking zoals is behandeld, is vrijwel geheel

Nadere informatie

Tinyserir-RC5. Datasheet. Tinyserir-RC5 Page: 1 of 8

Tinyserir-RC5. Datasheet. Tinyserir-RC5 Page: 1 of 8 9600 bps RS-232 interface voor uitlezing van Ontvangen RC5 codes Led aanduiding bij ontvangst van Infrarood pulsen Led aanduiding goede werking Interne firmware Inwendige oscillator Weinig externe componenten

Nadere informatie

Studiewijzer 5A050 Schakeltechniek

Studiewijzer 5A050 Schakeltechniek Studiewijzer 5A050 Schakeltechniek Inhoud dr.ir. L. Jóźwiak augustus 2005 1 Inleiding 1 2 Algemene informatie 1 3 Inhoud van het vak 2 4 Operationele doelstellingen 3 5 Plaats in het curriculum 3 6 Onderwijsvorm

Nadere informatie

logische schakelingen & logica

logische schakelingen & logica 2016 logische schakelingen & logica F. Vonk versie 2 14-6-2016 Inhoudsopgave 1. inleiding... - 2-2. optellen... - 3-3. logische poorten... - 6-4. waarheidstabellen... - 8-5. logische schakelingen... -

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 1e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 1e college EE4: Digitale Systemen BSc. EE, e jaar, 22-23, e college Arjan van Genderen, Stephan Wong, Computer Engineering -2-23 Delft University of Technology Challenge the future Context: Computersystemen (CS)

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 3 Synthese, simuatie, testbenches, rekenen in VHDL Jesse op den Brouw DIGSE1/2018-2019 Synthese Synthese is het proces van het automatisch genereren van hardware uit

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 2 Binaire getallen, BCD, Gray, ASCII, 7-segment Jesse op den Brouw INLDIG/205-206 Decimaal talstelsel Ons talstelsel is een zogenaamd positioneel talstelsel. Een getal

Nadere informatie

Multiplexers en demultiplexers MULTIPLEXERS

Multiplexers en demultiplexers MULTIPLEXERS Pa EO/ICT Kim - dep. IWT Multiplexers en demultiplexers MU transmissie DEMU merikaans symbool multiplexer merikaans symbool demultiplexer ingangen uitgang ingang uitgangen controle controle MU/DEMU DIGITE

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college EE4: igitale Systemen Sc. EE, e jaar, 22-23, 3e college rjan van Genderen, Stephan Wong, omputer Engineering 8-2-23 elft University of Technology hallenge the future Hoorcollege 3 anonieke vorm two-level

Nadere informatie

Wat is een busverbinding?

Wat is een busverbinding? Wat is een busverbinding? gemeenschappelijke verbinding tussen CPU, geheugen en I/O-schakelingen onderscheid tussen: databus/adresbus/controlbus intern/extern serieel/parallel unidirectioneel/bidirectioneel

Nadere informatie

Hoe werkt een computer precies?

Hoe werkt een computer precies? Hoe werkt een computer precies? Met steun van stichting Edict Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Overview Introductie SIM-PL Simulatietool voor werking computer

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Digitale Systemen (EE1 410)

Digitale Systemen (EE1 410) Digitale Systemen (EE1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 26-4-2011 ET1 410 (Stephan Wong) Pagina 1 Samenvatting 1 ste college Wat is VHDL? Waarvoor

Nadere informatie

Hfdst. 2: COMBINATORISCH PROGRAMMEREN

Hfdst. 2: COMBINATORISCH PROGRAMMEREN 2.1. Basisinstructies: 2.1.1. Ja-functie: Indien je een normaal open schakelaar bedient, moet de lamp oplichten. Waarheidstabel: Booleaanse schrijfwijze: Q0.0 = I0.0 2.1.2. Niet-functie: Waarheidstabel:

Nadere informatie

Inductiemeter via de parallelle poort

Inductiemeter via de parallelle poort K.T.A.1-Gent "De Lindenlei" Lindenlei 38 9000 Gent Tel: 09.225.33.04 en 09.225.43.42 Fax: 09.225.52.88 Geïntegreerde proef Inductiemeter via de parallelle poort Naam: Michaël Clinckspoor Richting: Industriële

Nadere informatie

2 Algemene opbouw van een computersysteem

2 Algemene opbouw van een computersysteem Procescomputer E. Gernaat 1 Microprocessoren algemeen Informatie-verwerking zoals behandeld is momenteel vrijwel geheel overgenomen door microprocessoren. Wanneer we voortborduren op het idee van combinatorische

Nadere informatie

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen: EGITE Een groep van flipflops om data te stockeren bufferregisters: om gegevens tijdelijk op te slaan schuifregisters: de inhoud verschuift doorheen de flipflops ynchrone schakeling Kan opgebouwd worden

Nadere informatie

Bouwstenen voor PSE. Datatypes en Datastructuren

Bouwstenen voor PSE. Datatypes en Datastructuren Bouwstenen voor PSE Datatypes en Datastructuren Definitie Datatype Klasse van dataobjecten tesamen met operaties om ze te construeren, te manipuleren en te verwijderen. Een datatype omvat een specificatie

Nadere informatie

Deel 1: Arduino kennismaking. Wat is een microcontroller, structuur van een programma, syntax,

Deel 1: Arduino kennismaking. Wat is een microcontroller, structuur van een programma, syntax, Deel 1: Arduino kennismaking Wat is een microcontroller, structuur van een programma, syntax, Wat is een microcontroller Wat is een microcontroller? Microcontroller = kleine dedicated computer. - Beperkt

Nadere informatie

AP80 Display Controller

AP80 Display Controller Datasheet AP80 AP80 Display Controller Toepasbaar als: Display voor positie en snelheid Nokkencontroller Signaalomvormer Toerentalbewaking Linearisatie functie 72 mm ca. 160 mm 144 mm Voor sensoren met:

Nadere informatie

Stappenmotor Interface. Controller

Stappenmotor Interface. Controller Besturing In deze moderne tijd zal de besturing geheel elektrisch worden uitgevoerd. De bedoeling is dat er voor ieder afgaand spoor een wisseladres gebruikt worden. Dit betekend dan een reeks van 24 aansluitende

Nadere informatie