EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

Maat: px
Weergave met pagina beginnen:

Download "EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college"

Transcriptie

1 EE4: igitale Systemen BSc. EE, e jaar, 22-23, 4e college Arjan van Genderen, Stephan Wong, Computer Engineering elft University of Technology Challenge the future

2 Mededelingen Volgende week tijdens EPO-2: 2 middagen training igitale Systemen met VHL en breadboard oefeningen: combinatorische schakelingen en sequentiele schakelingen Het afronden van deze oefeningen is verplicht binnen EPO-2 (resultaten worden afgetekend) egenen die niet aan EPO-2 meedoen kunnen ook aanschuiven op ma. t/m do. middag (e verd. rebbelweg) Handleiding binnenkort op Blackboard bij EPO-2 Bereid je goed voor! EE4 igitale Systemen 2

3 Hoorcollege 4 Sequentiële netwerken Terugkoppeling Latch Flipflop Finite State Machines State Machine Concept Finite State iagram Moore and Mealy Machines Case Studies: Snoepautomaat en Verkeerslichten Corresponderende stof in boek igital Logic : 7 7.4, 8 8.3, EE4 igitale Systemen 3

4 Sequentiële Netwerken Circuits met terugkoppeling (Z = f(x, Z)) outputs = f(inputs èn verleden) Gelimiteerd aantal stabiele oplossingen Z (toestanden of states genoemd) X X 2 X n Z Z 2 Z m circuit heeft/is een geheugen Synchrone systemen: toestandsovergang bepaald door één centraal clock signaal (toestandsveranderingen lopen synchroon met clock) Asynchrone systemen: toestandsovergang afhankelijk van meerdere signalen (lastig voorspelbaar gedrag, niet behandeld in EE4) EE4 igitale Systemen 4

5 Inverter-ketens Statische geheugencel (even # inv.): of Ring Oscillator (oneven # inv.): etc. A B C E A B C E Period of Repeating Waveform ( t p ) Gate elay ( t d ) t p = 2n t d n = # inv. EE4 igitale Systemen 5

6 R-S Latch R-S Latch: (2 gated inverters) R R = S = / S R / / hold reset S / set verboden Reset Hold Set Reset Hold Set Race R S verboden verboden EE4 igitale Systemen 6

7 R-S Latch nieuwe state = f(s, R, huidige state): S R latch delay Δ + S(t) R(t) (t) (t + Δ) x x HOL RESET SET VERBOEN (t + Δ) S SR ( t ) X X R Karakteristieke vergelijking: + = S + R (of + = R S + R = (R + (S + ) ) wanneer voor don t care, zie vorige slide) EE4 igitale Systemen 7

8 Synchrone netwerken Transitie naar nieuwe state(s) synchroon (m.b.v. clock): e clock geeft, nadat het circuit to rust is gekomen, het teken voor de volgende state transitie Voordelen: goed gedefinieerde states en transities, storingsongevoelig(er) Voorbeeld: Up-counter (q 2 q q =,,..., 7,,,...) Nodig: c FA s c FA s c FA s geheugenelement dat d.m.v. de clock clk de momentane ingang d bemonstert en op de uitgang q aanbiedt (Flip Flop = FF) bemonsteringsduur zo klein mogelijk bemonstering wordt getriggered door clk flank (edge-triggered) clk d FF c q q2 d FF c q q d FF c q q EE4 igitale Systemen 8

9 Level triggered vs. edge triggered Clock: periodieke gebeurtenis (event) triggert state verandering in geheugencel Pos/neg level triggered circuit (of gated latch) bemonstert gedurende gehele hoge/lage nivo Pos/Neg edge triggered circuit (of flipflop) bemonstert op pos/neg flank Input Clock ET Output LT Output Level triggered circuit heeft ook probleem wanneer uitgang terugkoppelt naar ingang: oscillaties kunnen optreden: Edge triggered circuit wel aantrekkelijk om state mee vast te leggen Clk EE4 igitale Systemen 9

10 Level-triggered flip-flop (Gated Latch) gated RS latch S R RS latch S R / / hold transport CLK CLK = : S =, R = (t + Δ) = (transport) CLK = : S =, R = (t + Δ) = (t) (hold) CLK tijd EE4 igitale Systemen

11 Edge-triggered flipflop: principe Principe edge-triggered flip flop: combinatie van gated RS latches: gated RS latch (master) gated RS latch 2 (slave) S R RS latch S R RS latch CLK CLK latch latch 2 ( ) () transport hold hold - transport - - = waarde wanneer CLK transport hold - EE4 igitale Systemen

12 Edge-triggered flipflop: realisatie flipflop (-FF): data Clk C Karakteristieke vergelijking: + = Input moet stabiel zijn rond clk flank (setup time T su, hold time T h ) om succesvol te bemonsteren Input T su T h Clock positive-edge triggered -flip-flop EE4 igitale Systemen 2

13 Case study: Parity checker Maak uitgang bij oneven aantal -en in invoerstroom: reset:... input: X (waarde juist voor CLK edge) output: (waarde na CLK edge) Codeer oneven aantal -en gedetecteerd als: (state) Codeer even aantal -en gedetecteerd als: (state) Toestandsdiagram Reset Toestandstabel: Even [] Present State Input Next State Output Odd [] input juist voor CLK edge NS (= ) = PS Input Output = PS output na CLK edge EE4 igitale Systemen 3

14 Case study: Parity checker Opslag state (PS) mbv -FF: = NS = PS Input; Output = PS Input CL K NS R PS/O utput \Reset Tijdsgedrag voor Input = : Input Clk Output EE4 igitale Systemen 4

15 Finite State Machines (FSM) EE4 igitale Systemen 5

16 FSM: efinitie FSM = seq. circuit met eindig # states (s). Executie: s k+ = f(s k,input) waarbij: s k = state als gevolg van klokflank k s k en input worden bemonsterd tijdens klokflank k+ Vb. FSM: circuit-representatie Vb. FSM: toest.diagr.-representatie (FS, Finite State iagram) Input CL K \Reset NS R PS/O utput state [output] = Reset Even [] vereiste input tijdens CLK edge voor deze transitie Odd [] FSM verandert state in het tempo van de klok. Kloksnelheid begrensd door propagatietijden combinatoriek in combinatie met setup/hold tijden van FF s en hun interne propagatietijden. EE4 igitale Systemen 6

17 FSM: Voorbeeld van interactie/timing Beschouw FSM en FSM 2 FSM wil zijn huidige state A via X doorseinen aan FSM 2, die via Y terugmeldt dat hij X gezien heeft (state C ), waarop FSM naar B gaat (en verder). Initieel geldt: uitgang X = van FSM, state van FSM 2 = C met Y =. an gaat state van FSM naar A. X Tijdsdiagram: FSM FSM 2 Y CLK A [] Y= C [] X= FSM X A A B FS s: Y= X= X= FSM Y 2 C Y=, B [] X= [] EE4 igitale Systemen 7

18 Probleemdefinitie: FSM voorbeeld: Snoepautomaat retourneer kauwgom nadat minimaal 5 cent is ingevoerd met dubbeltjes (dimes) en stuivers (nickels) (geen wisselgeld) Stap : Blokschema: Coin Sensor N Reset Vending Machine FSM Open Gum Release Mechanism Clk Reset Stap 2: Toestandsdiagram: N S States:, N, NN, NNN,, N, N,... S S2 Inputs: N(ickel), (ime), Reset N N Output: open N S4 S3 S5 S6 [open] [open] [open] S7 [open] S8 [open] EE4 igitale Systemen 8

19 FSM voorbeeld: Snoepautomaat Step 3: Vereenvoudiging: Step 4: Toestandcodering: Step 5: Toestandstabel: Reset N N N, 5 5 [open] Hergebruik states waar mogelijk (S2 = S3, S4 = S5 =... = S8) Present State 5 5 Inputs N Next State 5 X 5 5 X 5 5 X 5 X X X X X X X X Output Open X X X X EE4 igitale Systemen 9

20 FSM voorbeeld: Snoepautomaat Step 6: Implementatie: N N x x x x K-map for = + + N = N + N + N + OPEN = N K-map for N N x x x x x x x x N N \ \ N N K-map for OPEN CLK R \ \res et CLK R \ \res et OPEN N EE4 igitale Systemen 2

21 Moore vs. Mealy machines State Register Moore Machine output = f(state) output verandert synchronoon met toestandsverandering X i Inputs Combinational Logic for Next State (Flip-flop Inputs) Clock Comb. Logic for Outputs Z k Outputs State Feedback Mealy Machine output = f(state,input) output verandert direct met input-verandering (asynchrone output) X i Inputs Combinational Logic for Outputs and Next State State Register Clock Z k Outputs State Feedback EE4 igitale Systemen 2

22 Moore vs. Mealy machines Voorbeeld: FSM die 2 opeenvolgende -en in invoerstroom detecteert Moore machine: Mealy machine: [] [] 2 / / / / input/output [] Zelfde gedrag maar verschillend # states Nadeel Mealy: eerder kans op problemen (instabiliteit bij terugkoppeling van outputs naar inputs) EE4 igitale Systemen 22

23 Case study: Verkeerslichten Farmroad C HL FL Highway Highway FL HL C Farmroad Specificatie: Basistoestand (reset): HL, FL Indien wagen op C: lange wachttijd HL, FL korte wachttijd HL, FL lange wachttijd tenzij geen wagens meer op C HL, FL korte wachttijd terug naar basis toestand Er is een timer beschikbaar welke start met signaal ST: geeft signaal TS na korte tijd, signaal TL na lange tijd igitale besturing: States: S (HL, FL), S (HL, FL), S2 (HL, FL), S3 (HL, FL) Inputs: Reset, C (meetlus), TS (korte timer gaat af), TL (lange timer gaat af) Outputs: HG, HY, HR, FG, FY, FR, ST (set timer, korte puls om timer te (her)starten) HR HY HG C Reset FSM besturing ST TS TL timer CLK FR FY FG EE4 igitale Systemen 23

24 Case study: Verkeerslichten S (highway, farmroad) overgangsconditie: wagen op C en lange wachttijd verstreken, dus C TL è ST wordt gezet tijdens overgang naar S S (highway, farmroad) overgangsconditie: korte tijd verstreken, dus TS è ST wordt gezet tijdens overgang naar S2 TL C/ST TS S S TL + C Reset TS/ST S3 TS S2 (highway, farmroad) overgangsconditie: geen wagen meer op C of lange wachttijd verstreken, dus TL + C è ST wordt gezet tijdens overgang naar S3 S3 (highway, farmroad) TS/ST S2 TL + C /ST TL C overgangsconditie: korte tijd verstreken, dus TS è ST wordt gezet tijdens overgang naar S Mealy of Moore? EE4 igitale Systemen 24

25 Samenvatting Belangrijkste elementen: wat zijn synchrone/asynchrone seq. systemen? wat zijn de principes achter latches en flipflops? wat is verschil tussen level-triggered en edge-triggered circuits? waar wordt maximale clocksnelheid door bepaald? wat zijn finite state machines? wat zijn de drie belangrijkste FSM representaties? wat is de ontwerpmethode van probleem naar FSM implementatie? wat zijn Moore en Mealy machines? Volgende keer: VHL voor seq. systemen EE4 igitale Systemen 25

26 Huiswerkopgaven h4 Vraag : Gegeven het volgende circuit: A A B B???? Het circuit is: a. een latch; AB = is de verboden ingangscombinatie b. een latch; AB = is de verboden ingangscombinatie c. een latch; AB = is de verboden ingangscombinatie d. geen bruikbaar geheugenelement; de Reset-combinatie ontbreekt EE4 igitale Systemen 26

27 Huiswerkopgaven h4 Vraag 2: Bij SR latches is SR = verboden ivm racecondities en niet-complementaire uitgangswaarden. Bij de volgende implementatie: S R a. zijn all problemen rond SR = opgelost. Voor SR = is gekozen voor een extra setopdracht b. zijn all problemen rond SR = opgelost. Voor SR = is gekozen voor een extra resetopdracht c. zijn all problemen rond SR = opgelost. Voor SR = is gekozen voor een extra onthoud (hold) opdracht d. zijn niet alle problemen rond SR = opgelost. EE4 igitale Systemen 27

28 Huiswerkopgaven h4 Vraag 3: Gegeven het volgende circuit met drie positive edge-triggered flipflops: In Out FF FF FF CLK CLK: t t 2 t 3 t 4 t 5... Indien voor t op In het constante signaal X wordt gezet, waneer wordt X op zijn vroegst op Out waargenomen? Op of direct na: a. t 2 b. t 3 c. t 4 d. t 5 of later EE4 igitale Systemen 28

29 Huiswerkopgaven h4 Casus: sequentiële opteller Men wil een circuit ontwerpen dat twee 8-bits getallen A = a 7 a 6... a a en B = b 7 b 6... b b wil optellen tot het getal S = s 8 s 7 s 6... s s. Om componenten te sparen wil men de optelling op een sequentiële manier realiseren: op tijdstip (klokflank) t i (i =,,2,...,7) ontvangt het circuit de input bits a i en b i en berekent bit s i. Tijdens de berekening speelt de carry c i- die mogelijk is ontstaan tijdens de vorige berekening op tijdstip i- een belangrijke rol (tijdens de eerste berekening op i = is de vorige carry natuurlijk ). e carry speelt uiteraard ook een rol tijdens de berekening van s 8. e sequentiële opteller kan worden gebouwd m.b.v. een FSM met 2 states zoals onderstaand circuit: a 7 a 6... a a b FSM 7 b 6... b b s 8 s 7 s 6... s s CLK EE4 igitale Systemen 29

30 Huiswerkopgaven h4 Vraag 4 (zie de casus sequentiële opteller ): e FSM kan worden gerepresenteerd door de volgende FS (Mealy vorm) waarin X en Y nog niet zijn ingevuld: Reset S X Y / Welke uitspraak is correct? / / / S a. S representeert s i =, S representeert s i = b. S representeert c i =, S representeert c i = c. S representeert a i =, S representeert b i = d. Geen van bovenstaande antwoorden. EE4 igitale Systemen 3

31 Huiswerkopgaven h4 Vraag 5 (zie de casus sequentiële opteller ): In de FS staan twee labels X en Y. Wat moet er voor X en Y worden ingevuld? Reset S X Y / a. X = (/, /) Y = / b. X = (/, /, /) Y = / c. X = / Y = / d. Geen van bovenstaande antwoorden. / / / S EE4 igitale Systemen 3

32 Huiswerkopgaven h4 Vraag 6 (zie de casus sequentiële opteller ): Stel dat we nu twee 64-bit getallen A en B sequentieel willen optellen. Welke van onderstaande uitspraken is het meest correct? a. We moeten de vorige FSM aanpassen b. We kunnen de vorige FSM gebruiken en de berekening kost evenveel tijd (= # klokcycli) c. We kunnen de vorige FSM gebruiken maar de berekening kost wel meer tijd (= # klokcycli) d. Geen van bovenstaande antwoorden. EE4 igitale Systemen 32

33 Huiswerkopgaven h4 Vraag 7 (zie de casus sequentiële opteller ): Stel dat de opteller met flip-flops en 4-input NAN gates moet worden gerealiseerd. Hoeveel flip-flops en NAN gates zijn benodigd (een invertor kost ook een NAN gate)? a. FF en gates b. FF en 9 gates c. 2 FF en 5 gates d. Geen van bovenstaande antwoorden. EE4 igitale Systemen 33

34 Huiswerkopgaven h4 Vraag 8 (zie de casus sequentiële opteller ): Stel dat de opteller m.b.v. een Moore machine wordt gerealiseerd. Welke van de volgende uitspraken is het meest correct? a. e FS van de Moore machine heeft 2 states b. e FS van de Moore machine heeft 3 states c. e FS van de Moore machine heeft 4 states d. Geen van bovenstaande antwoorden. EE4 igitale Systemen 34

35 Casus: 4-bits detector Huiswerkopgaven h4 Onderstaand circuit detecteert een bepaalde reeks van 4 bits: Y CLK Z X Y CLK EE4 igitale Systemen 35

36 Huiswerkopgaven h4 Vraag 9 (zie de casus 4-bit detector ): Welke type FSM is hier gerealiseerd? a. Moore machine b. Mealy machine c. Synchronous Mealy machine d. Geen van bovenstaande antwoorden. EE4 igitale Systemen 36

37 Huiswerkopgaven h4 Vraag (zie de casus 4-bit detector ): Welke 4-bits reeks wordt door het circuit gedetecteerd? a. b. c. d. Geen van bovenstaande antwoorden. EE4 igitale Systemen 37

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college EE4: igitale Systemen Sc. EE, e jaar, 22-23, 3e college rjan van Genderen, Stephan Wong, omputer Engineering 8-2-23 elft University of Technology hallenge the future Hoorcollege 3 anonieke vorm two-level

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/214-215 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 10e hoorcollege Arjan van Genderen, Stephan Wong, Computer Engineering 13-5-2013 Delft University of Technology Challenge the future Hoorcollege 10

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische Schakelingen (ET1205-D2) Datum: maandag 30 juni 2008 Tijd: 09.00 12.00 uur Naam: Studienummer: Cijfer Lees dit

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Oefenopgaven nr. 1 Opgave 1.1

Oefenopgaven nr. 1 Opgave 1.1 Oefenopgaven nr. 1 Opgave 1.1 Beschouw onderstaande transistor. De technologie is de 0.25µm technologie uit het boek, maar we nemen λ=0 en V DSAT =. (Opm.: De zinsnede is de 0.25µm technologie uit het

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23 469 Appendix symbolen door prof. ir. C.H. Eversdijk & ir. A.P. Thijssen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 C-afhankelijkheid 471 A.19 Symbolen voor latches

Nadere informatie

Deeltoets Digitale technieken

Deeltoets Digitale technieken Deeltoets Digitale technieken André Deutz 22 oktober, 2007 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Getallenrepresenta*e. Processen en Processoren 7 februari 2012 Getallenrepresenta*e Processen en Processoren 7 februari 2012 Vrijwilligers voor dinsdagmiddag werkcollege ca. 17 studenten dinsdagmiddag 15.45, ca. 33 studenten woensdagochtend 10.45 bonusregeling Als

Nadere informatie

HOOFDSTUK 6: Logische Schakelingen

HOOFDSTUK 6: Logische Schakelingen HOOFDSTUK 6: Logische Schakelingen 1. Inleiding combinatorisch vs. sequentieel gedrag gedrag v/e circuit = relatie tussen binaire waarden uit uitgangen en binaire waarden op ingangen combinatorisch gedrag

Nadere informatie

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 1e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 1e college EE4: Digitale Systemen BSc. EE, e jaar, 22-23, e college Arjan van Genderen, Stephan Wong, Computer Engineering -2-23 Delft University of Technology Challenge the future Context: Computersystemen (CS)

Nadere informatie

Digitale Techniek. Jesse op den Brouw. Een inleiding in het ontwerpen van digitale systemen. Deel 3

Digitale Techniek. Jesse op den Brouw. Een inleiding in het ontwerpen van digitale systemen. Deel 3 Digitale Techniek Een inleiding in het ontwerpen van digitale systemen Jesse op den Brouw Deel 3 2018 Jesse op den Brouw, Den Haag Versie: 0.99pl13α Datum: 15 juni 2018 Digitale Techniek van Jesse op den

Nadere informatie

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen: EGITE Een groep van flipflops om data te stockeren bufferregisters: om gegevens tijdelijk op te slaan schuifregisters: de inhoud verschuift doorheen de flipflops ynchrone schakeling Kan opgebouwd worden

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

Een flipflop is een digitale schakeling die in staat is een logische "1" of een logische "0" op te slaan en te bewaren in de tijd.

Een flipflop is een digitale schakeling die in staat is een logische 1 of een logische 0 op te slaan en te bewaren in de tijd. 1 FLIPFLOPS In dit hoofdstuk bestuderen we de verschillende soorten flipflops. De kennis hiervan is noodzakelijk om achteraf een goed inzicht te verwerven in de werking en toepassing van meer complexe

Nadere informatie

Hfdst. 2: COMBINATORISCH PROGRAMMEREN

Hfdst. 2: COMBINATORISCH PROGRAMMEREN 2.1. Basisinstructies: 2.1.1. Ja-functie: Indien je een normaal open schakelaar bedient, moet de lamp oplichten. Waarheidstabel: Booleaanse schrijfwijze: Q0.0 = I0.0 2.1.2. Niet-functie: Waarheidstabel:

Nadere informatie

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

Samenvatting Computer Architectuur 2006-2007

Samenvatting Computer Architectuur 2006-2007 Sequentiële logica Wat is sequentiële logica We noemen dit ook wel final state machine. Het neemt een ingang en een huidige toestand en vertaalt die via een combinatorische functie in een uitgang en een

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 4 Datapadsystemen Jesse op den Brouw DIGSE2/2016-2017 Complexe systemen In principe kan elk sequentiëel systeem beschreven worden met een toestandsdiagram. In de praktijk

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

digitale meettechniek J.P.GOEMAERE

digitale meettechniek J.P.GOEMAERE Vak: docent: digitale meettechniek J.P.GOEMAERE Opleidingsonderdeel : Digitale meetinstrumenten en DSP O.O.V: J.P.GOEMAERE 1 Opleidingsonderdeel : digitale meettechnieken en DSP Vak: digitale meettechniek

Nadere informatie

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

Hoe werkt een computer precies?

Hoe werkt een computer precies? Hoe werkt een computer precies? Met steun van stichting Edict Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Overview Introductie SIM-PL Simulatietool voor werking computer

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Hfdst. 2: COMBINATORISCHE LOGICA

Hfdst. 2: COMBINATORISCHE LOGICA Hfdst. 2: COMBINATOISCHE LOGICA DEEL 2: Prioriteitsregels: 2.3.1. Het begrip stack : Duid een groep geheugenplaatsen aan die door de CPU wordt gebruikt om het programma te verwerken. Bij het gebruik van

Nadere informatie

Proeftentamen Digitale technieken

Proeftentamen Digitale technieken Proeftentamen Digitale technieken André Deutz October 17, 2007 De opgaven kunnen uiteraard in willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen Samenvatting door A. 1243 woorden 12 april 2013 7,6 12 keer beoordeeld Vak Natuurkunde Natuurkunde Systemen Systemen We onderscheiden 3 soorten gegevensverwerkende systemen: meetsysteem: meet een grootheid

Nadere informatie

Analoge en Digitale Elektronica

Analoge en Digitale Elektronica Analoge en Digitale Elektronica 14 september 2007 1 2 de zit 2006-2007 Bespreek het potentiaalverloop en de stroomcomponenten doorheen een PN junctie in ongepolariseerde toestand, bij voorwaartse polarisatie,

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

scc =!F3.!F2 b) CD AB

scc =!F3.!F2 b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops)

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) PBa ELO/ICT Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) bewaren het aantal getelde pulsen (d.i. de stand van de teller) Opdeling:

Nadere informatie

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur. Technische Universiteit Eindhoven Faculteit Elektrotechniek EXAMENONDEDEEL ELEKTONISHE INSTUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot 2.00 uur. Het gebruik van het collegedictaat Elektronische

Nadere informatie

Slimme schakelingen (2)

Slimme schakelingen (2) Slimme schakelingen (2) Technische informatica in de zorg Thijs Harleman Modulecode: TMGZ-AMAL23 23 februari 2015 1 Overzicht college Doel van dit college: Verdiepen van kennis en inzicht van het ontwerpen

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 5 2 s complement representatie, BCD-optellen Jesse op den Brouw INLDIG/2015-2016 Introductie negatieve getallen Tot nu toe zijn alleen positieve getallen (en nul) behandeld.

Nadere informatie

Fig. 2. Fig. 1 5 4,5 4 3,5 3 2,5 2 1,5 U (V) 0,5. -20 0 20 40 60 80 100 temperatuur ( C)

Fig. 2. Fig. 1 5 4,5 4 3,5 3 2,5 2 1,5 U (V) 0,5. -20 0 20 40 60 80 100 temperatuur ( C) Deze opgaven en uitwerkingen vind je op https://www.itslearning.com en op www.agtijmensen.nl Wat je moet weten en kunnen gebruiken: Zie het boekje Systeembord.. Eigenschappen van de invoer-elementen (sensor,

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Computersysteem Een systeem dat rekenkundige operaties, data manipulaties en beslissingen kan uitvoeren, aan de hand

Nadere informatie

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Tentamen Engineering 2011/2012: Opleiding: ESE, HN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Vakcode: DSO deel 2 Lokaal: Docent: RZ antal tentamenbladen:

Nadere informatie

Registers & Adressering. F. Rubben, ing 2008-2010

Registers & Adressering. F. Rubben, ing 2008-2010 Registers & Adressering, ing 2008-2010 Inhoud Leerstof tot nu toe Opbouw registers Benaming registers Opbouw data Verloop programma Leerstof tot nu toe: Bouw PLC Intern Extern fabrikanten Aansluiten I/O

Nadere informatie

VOORBLAD SCHRIFTELIJKE TOETSEN

VOORBLAD SCHRIFTELIJKE TOETSEN VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING : ELEKTROTECHNIEK TOETSCODE : UITWERKINGEN INLDIG GROEP : EP, EQD TOETSDATUM : 3 OKTOBER 24 TIJD : 3: 4:3 AANTAL PAGINA S (incl. voorblad) : DEZE TOETS BESTAAT

Nadere informatie

Friendly Functions and Shared BDD s

Friendly Functions and Shared BDD s Friendly Functions and Shared BDD s Bob Wansink 19 Juni 2010 Deze notitie behandelt pagina s 81 tot 84 van The Art of Computer Programming, Volume 4, Fascicle 1 van Donald E. Knuth. Inhoudelijk gaat het

Nadere informatie

Hoe werkt een rekenmachine?

Hoe werkt een rekenmachine? Hoe werkt een rekenmachine? Uit welke hardware-componenten bestaat een rekenmachine? Welke instructies kan de machine uitvoeren? Practicum met de rekenmachine I Constante getallen Instructies van het type

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

Antwoorden Systeembord 25012010. Fysische informatica voor de onderbouw havo/vwo

Antwoorden Systeembord 25012010. Fysische informatica voor de onderbouw havo/vwo Fysische informatica voor de onderbouw havo/vwo 1 Inhoud: Antwoorden Systeembord 25012010 2. De invoer- en uitvoercomponenten...3 2.1 De drukschakelaar....3 2.2 Geluidsensor...3 2.3 Variabele spanning....3

Nadere informatie

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen Jan Genoe KHLim Situering RAM-geheugens Geheugens Halfgeleider Geheugens Serieel toegankelijk geheugen Willekeurig toegankelijk geheugen Read Only Memory ROM Random Access Memory RAM Statische RAM SRAM

Nadere informatie

Opgaven. Jesse op den Brouw. en uitwerkingen bij het boek Digitale Techniek. Deel 3

Opgaven. Jesse op den Brouw. en uitwerkingen bij het boek Digitale Techniek. Deel 3 Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw Deel 3 28 Jesse op den Brouw, Den Haag Versie:.99pl3 Datum: juni 28 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie

Digitale systemen. Hoofdstuk 6. 6.1 De digitale regelaar

Digitale systemen. Hoofdstuk 6. 6.1 De digitale regelaar Hoofdstuk 6 Digitale systemen Doelstellingen 1. Weten dat digitale systemen andere stabiliteitsvoorwaarden hebben In deze tijd van digitalisatie is het gebruik van computers in regelkringen alom.denk maar

Nadere informatie

Niet-lineair gedrag in een halfgeleider optische versterker en laser diode gebaseerd terugkoppelingsschema

Niet-lineair gedrag in een halfgeleider optische versterker en laser diode gebaseerd terugkoppelingsschema Niet-lineair gedrag in een halfgeleider optische versterker en laser diode gebaseerd terugkoppelingsschema Wouter D Oosterlinck Promotor: Prof. G. Morthier Photonics Research Group http://photonics.intec.ugent.be

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Fig. 5.1: Blokschema van de 555

Fig. 5.1: Blokschema van de 555 5 Timer IC 555 In de vorige drie hoofdstukken hebben we respectievelijk de Schmitt-trigger, de monostabiele en de astabiele multivibrator bestudeerd. Voor ieder van deze schakelingen bestaan in de verschillende

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Voor deze oefeningenles heb je de handleiding van de uitgedeelde ARM processor nodig. Je kan deze vinden op de website van het

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

1 Rekenen in eindige precisie

1 Rekenen in eindige precisie Rekenen in eindige precisie Een computer rekent per definitie met een eindige deelverzameling van getallen. In dit hoofdstuk bekijken we hoe dit binnen een computer is ingericht, en wat daarvan de gevolgen

Nadere informatie

Logische bit-instructies

Logische bit-instructies Logische bit-instructies I. I. (MCRA) I. (MCR

Nadere informatie

SIM-PL, auteursomgeving voor digitale componenten

SIM-PL, auteursomgeving voor digitale componenten SIM-PL, auteursomgeving voor digitale componenten Ben Bruidegom en Wouter Koolen-Wijkstra, AMSTEL-insituut UvA Samenvatting SIM-PL is een auteursomgeving om componenten te construeren en te simuleren voor

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Uitwerkingen vorige opgavenserie Behandelen oefenopgaven

Nadere informatie

Programmeeropgaven. Sem Prog DD

Programmeeropgaven. Sem Prog DD Programmeeropgaven Sem Prog DD EENVOUDIGE SEQUENTIES SomVanTweeGetallen Rechthoek Trein Trein: formulier Einstein Rapport Autokost Factuur CONSTANTEN Utopia Utopia KEUZESTRUCTUUR IF THEN ELSE END

Nadere informatie

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw 2018/2019 Elektrotechniek Semester 1.1 Studiewijzer Inleiding Digitale Techniek (E-INLDIG-13 ) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Ben

Nadere informatie