Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Maat: px
Weergave met pagina beginnen:

Download "Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur"

Transcriptie

1 Tentamen igitale Systemen (EE4) 6 juli 22, uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden op het uitgereikte M-formulier. Enkele aanwijzingen bij het invullen van de M-formulieren: slechts antwoord is het correcte antwoord (N: a,b,c,d staan door elkaar op het antwoordvel) vul de gekozen vakjes helemaal in (liefst met ballpoint, of met potlood) vul het formulier pas aan het einde in om fouten te voorkomen geen veranderingen aanbrengen: haal dan een nieuw formulier het onbeantwoord laten van een vraag werkt altijd in uw nadeel vergeet niet uw studienummer in te vullen (cijfers en vakjes!) en uw handtekening te plaatsen. U mag het boek "Fundamentals of igital Logic with VHL esign", het VHL boek (of alternatieve boeken hiervoor), het document RTL_elta, prints van het college slides en eventueel een niet-programmeerbare rekenmachine bij u hebben. Verder dus niets! Wij benadrukken dat u tijdens toetsen het tentamen dus GEEN gebruik mag maken van oude tentamens en toetsen (uitgezonderd tentamen en toets vragen die op de college slides staan). Gebruikt u deze toch dan zijn de tentamen fraude regels van toepassing. Op grond van toetsresultaten kan het tentamencijfer met maximaal punt verhoogd worden. Succes!. M-vragen (gewicht: % = 63 %) Vraag F Voor bovenstaand circuit gelden de volgende vertragingstijden: NN = ps, NOR = 2 ps, XNOR = 2 ps. Oorspronkelijk geldt = en = = =. an wordt =. Welke van de volgende uitspraken is correct? a. Er treedt een -- hazard op mbt. F b. Er treedt een -- hazard op mbt. F c. F is bij aanvang, wordt dan en verandert niet meer d. Geen van bovenstaande antwoorden Vraag 2 Gegeven de nevenstaande K-map (X betekent don t care). Wat is de bijbehorende minimale som van producten? a b.. +. c.. +. d X X X X X X

2 Vraag 3 Gegeven bijgaand circuit: Q Q Welke van de volgende uitspraken is correct? a. it circuit is geen bruikbaar geheugenelement: de Set-combinatie ontbreekt. b. it circuit is geen bruikbaar geheugenelement: de Reset-combinatie ontbreekt c. it circuit is bruikbaar als een latch; = is de verboden ingangscombinatie d. it circuit is bruikbaar als een latch: = en = is de ingangscombinatie voor onthouden Vraag 4 Gegeven de berekening = in 2 s complement notatie. Er geldt = en =. Wat is de waarde van? a. -6 b. 29 c. 3 d. Geen van bovenstaande antwoorden. Vraag 5 Van nevenstaand schema zijn voor de poorten de volgende tijden gegeven: delay XOR: ps delay NOR: 5 ps delay N: 9 ps en voor het schuifregister (bestaande uit flip-flops): setup tijd: 4 ps hold tijd: 2 ps omslagtijd: ps Wat is de minimale klokperiodetijd waarbij het systeem nog correct kan werken? a. 36 ps b. 38 ps c. 4 ps d. 42 ps

3 Vraag 6 vdd Gegeven nevenstaande MOS schakeling. Wat is een uitdrukking voor F? a b.. +.( + ) c.. +.( + ) d vdd F Vraag 7 Gegeven is nevenstaand schema. epaal de functie F(,,,) van de schakeling en schrijf F als een product van sommen. a. ( + + ).( + + ) b. ( + + ).( + + ) c. ( + + ).( + + ) d. ( + + ).( + + ) 2 3 MUX F Vraag 8 Gegeven de volgende elta I assembly code: labela: labelb: set c ld b add b st R3 bc labela xor b add b bc labelb add R3 add R3 Wat is de waarde van na de executie van de laatste instructie? a. = 2F H b. = 3 H c. = 2 H d. = H Vraag 9. e formule F =.'.' '.'+.. + '... is te vereenvoudigen tot: a. +. b.. +. c d. Het juiste antwoord staat er niet bij.

4 Vraag. x= & y= x= & y= x= & y= x= x= & y= y= x= & y= x= & y= E x= F Gegeven bovenstaand toestandsdiagram van een Moore machine met ingangen x en y, en een uitgang z. (Indien voor een bepaalde toestand niet voor alle ingangscombinaties een overgang beschreven is, betekent dit dat het systeem voor de overblijvende ingangscombinaties in de huidige toestand blijft.) Vertrekkende vanuit een willekeurige toestand: welke ingangsreeks geeft een aan de uitgang? a. xy: b. xy: c. xy: d. xy: Vraag. X y2 y y Y LK y 2 ROM y y E F Z ls de toestandscodering uit de tabel rechts geldt voor het toestandsdiagram van vraag, en een realisatie m.b.v. een ROM wordt uitgevoerd zoals hierboven links is aangegeven, wat is dan de inhoud van de ROM op adres? a. b. c. d. Geen van bovenstaande antwoorden.

5 Vraag 2. Op een as van een windwijzer is een elektrisch geleidend codeschijfje bevestigd. ls een geleidende sleepveer op het witte, geleidende deel van het schijfje rust, zal er een logische "" worden geproduceerd, maar als de veer op het isolerende zwarte deel rust, zal er een logische "" worden afgegeven. Op deze manier worden 3 signalen P,Q en R gemaakt. e stand van de as, die één der 8 windrichtingen aangeeft, moet worden zichtbaar gemaakt met behulp van de lampjes N(oord), O(ost), Z(uid) en W(est). Met behulp van een code-omzetter worden uit de signalen P,Q en R de stuursignalen voor de 4 lampjes gemaakt (aan = en gedoofd = ). P Q R Welk van onderstaande schakelingen kan worden gebruikt voor het aansturen van het lampje N? Vraag 3. a b c d Gegeven de volgende VHL code: library ieee; use ieee.std_logic_64.all; entity mux_network is port ( x : in std_logic; x : in std_logic; x2 : in std_logic; x3 : in std_logic; z : out std_logic); end mux_network; architecture structural of mux_network is component mux port ( x : in std_logic; -- de -de ingang van de multiplexer x : in std_logic; -- de -de ingang van de multiplexer s : in std_logic; -- selector-ingang van de multiplexer o : out std_logic); -- de uitgang van de multiplexer end component; signal o, o2 : std_logic; mux_ : mux port map (x => '', x => x3, s => x2, o => o); mux_2 : mux port map (x => '', x => o, s => x, o => o2); mux_3 : mux port map (x => o2, x => x3, s => x, o => z); end structural; Welke functie wordt hiermee geimplementeerd? a. f(x3, x2, x, x) = Σ m(6, 7, 3, 4, 5) b. f(x3, x2, x, x) = Σ m(,, 3, 4, 5) c. f(x3, x2, x, x) = Σ m(2, 3, 5, 6, 7) d. f(x3, x2, x, x) = Σ m(4, 2, 3, 4, 5)

6 Vraag 4. Gegeven zijn de volgende processen: proc: process (x,y,z) is -- Process variable var_s : std_logic; L: var_s := x and y; L2: res := var_s nor z; end process; proc2: process (x,y,z) is -- Process 2 L3: sig_s <= x and y; L4: res2 <= sig_s nor z; end process; e waarden van de signalen voordat de processen starten, zijn als volgt: x =, y =, z =, sig_s =. Wat is de waarde van res na executie van beide processen? res res2 a. b. c. d. Vraag 5. entity boolean_test is end boolean_test; architecture gedrag of boolean_test is component boolean_functie is port (a, b, c : in bit; uit : out bit); end component; signal aa, bb, cc, dd ; bit; N: boolean_functie port map (aa, bb, cc, dd); aa <=, after 5 ns, after 5 ns, after 3 ns, after 35 ns, after 4 ns; bb <=, after ns, after 2 ns, after 35 ns; cc <=... deze regel moet nog worden ingevuld end gedrag; e component boolean_functie is niet gegeven in VHL, maar wel gegeven als een product van maxtermen F(a,b,c) = Π M(,2,5,7). Verder is het de bedoeling dat de component de volgende uitgang realiseert: dd Welke van de vier onderstaande assignments moet worden ingevuld als waveform van signaal cc om de gevraagde signaal dd te genereren? a. cc <=, after 5 ns, after 2 ns, after 25 ns, after 3 ns, after 35 ns; b. cc <=, after ns, after 5 ns, after 25 ns, after 3 ns, after 4 ns; c. cc <=, after 5 ns, after ns, after 5 ns, after 25 ns, after 3 ns, after 4 ns; d. geen van de bovenstaande antwoorden.

7 e volgende informatie heeft betrekking op opgaven 6-7. Hieronder is een entity gegeven met daarbij drie verschillende architectures: entity probleem is port (a, b, c: in bit; d: out bit); end probleem; architecture one of probleem is L: process (a, b) if (a = ) then d <= ; else d <= not b; end if; end process; end one; architecture two of probleem is process (a, b) if (a = ) then d <= b; else d <= ; end if; end process; end two; architecture three of probleem is process (a, b) if (b = ) then d <= a; end if; end process; end three; Vraag 6. Welke van de volgende uitspraken is correct? a. architecture two beschrijft een latch. b. architecture one beschrijft de combinatorische functie a nand b. c. architecture three beschrijft de combinatorische functie a and b. d. geen van de drie genoemde mogelijkheden is goed. In onderstaande Figure zijn de binaire signalen a, b en c gegeven als functie van de tijd.

8 Vraag 7. Gevraagd wordt, als signalen a, b en c verlopen zoals in Figure, hoe vaak in architecture one de statement d<= not b wordt gescheduled en hoe vaak d<= in architecture two wordt gescheduled. a. d<= not b in architecture one: 4 keer; d<= in architecture two: 3 keer. b. d<= not b in architecture one: 4 keer; d<= in architecture two: 4 keer. c. d<= not b in architecture one: 3 keer; d<= in architecture two: 4 keer. d. geen van de drie genoemde mogelijkheden is goed. Vraag 8. Gegeven het volgende VHL process: process out <= s and s4 after 4 ns; out2 <= not(s3) after ns; wait for 2 ns; end process; lle signalen zijn van het type std_logic. Neem aan dat alle signalen de waarde '' hebben. ls het process op (nul) ns eenmaal is gestart, op welk tijdstip zal dit process opnieuw worden gestart (uitgedrukt in simulatietijd)? a. geen tijd ( ns) b. een delta delay (Δ ns) c. de delay van de wait statement (2 ns) d. de som van alle delay statements inclusief wait statement (34 ns). Open vragen (gewicht: 37%) Vraag 9 (7 %) Gegeven een ingangssignaal X dat gesynchroniseerd is met de klok..w.z. het signaal verandert alleen van waarde direct na de actieve klokflank. Het signaal kan klokperiode lang zijn waarde behouden, maar kan ook meerdere klokperioden achter elkaar dezelfde waarde behouden. U wordt gevraagd om d.m.v. een Finite State iagram (FS) een schakeling te ontwerpen met een uitgang Y welke gedurende klokperiode een waarde afgeeft wanneer het ingangssignaal X van naar is gegaan. Zie onderstaand voorbeeld. Het FS moet een Finite-State Machine van het type Moore beschrijven, en zo weinig mogelijk toestanden gebruiken. LK X Y

9 Vraag 2 ( %) Voor een schakeling met een ingangssignaal S is het gecodeerde toestands-diagram hiernaast weergeven. e schakeling wordt opgebouwd met 3 T flipflops, en (de uitgangen van de T flipflops corresponderen in deze volgorde met de getoonde toestand bits). a) Geef een Karnaugh map voor de nieuwe waarde van de uitgang van T flipflop na de volgende actieve klokflank. b) epaal een logische expressie (minimale som van producten) voor de aansturing van de ingang van T flipflop.,,,,,, Vraag 2 (4 %) Schrijf in de assembly taal van de elta processor een programma dat springt naar label Rneg indien de inhoud van register R negatief is (2 s complement representatie). Het kan in 4 instructies. Vraag 22 (6 punten) Schrijf de VHL code op van een -flipflop met gecombineerd een asynchrone reset-signaal en een synchrone setsignaal. e entity is gedefinieerd als: entity ff is port (ingang, reset, set, clk: in bit; uitgang: out bit); end ff; Vraag 23 ( punten) Maak gebruik van de in Vraag 22 geïntroduceerde entity ff en bouw met dit element een 3-bit rotator (bit3_rotator) rotator (d.w.z. een schuifregister waarbij de beide uiteinden met elkaar zijn verbonden dus bij naar links schuiven wordt de hoogste bit in de laagste positie ingeschoven en bij het naar rechts schuiven de laagste bit naar de hoogste positie ingeschoven) die ook de mogelijkheid heeft om een nieuwe 3-bit waarde in te laden als een load-signaal hoog is. Hou tevens rekening met het volgende: - vergeet niet de uitgang van de flip-flops ook zichtbaar te maken als een port van de bit3_rotator entity. - geef duidelijk aan welke ingangssignalen je nodig hebt en hoe je deze hebt benoemd in je VHL code. - vergeet de component declaratie van ff entity niet. - het is niet toegestaan om processen te gebruiken. - HINT: denk aan de multiplexer en hoe deze kan worden gebouwd met een combinatorisch netwerk. - je moet gebruik maken van de volgende entity beschrijving: entity bit3_rotator is port (links, niets, rechts, load, reset, clk: in bit; -- van de signalen links, niets, rechts, load worden -- aangenomen dat nooit twee tegelijk kunnen zijn. ingang : in bit_vector (2 downto ); uitgang: out bit_vector (2 downto )); end bit3_rotator; o o o o o o o

10 Uitwerkingen Tentamen igitale Systemen (EE4) 6 juli 22 M-vragen: Vraag : a - > 2 - > (2) - > (22)- >(42) 2 - > (32) F - > (32)- >(52) Vraag 2: c X X X X X X + Vraag 3: b Q Q Q Q set Q Q hold Q Q hold verboden Vraag 4: d = = 9 en = = -2 dus =9 (-2) = 3

11 Vraag 5: c Maximale delay van het combinatorische gedeelte = t(nor)+t(xor) = 5 + = 26 ps e minimale klokperiode is dan: t OMSLG + t OM + t SU = = 4 ps Vraag 6: c e rechter helft van de schakeling vormt met transmission gates een selector, waarbij wanneer = de linker onderschakeling wordt geselecteerd (een invertor voor signaal ) en wanneer = de linker boven schakeling (een NOR poort voor en ). angezien de uitgang van de selector geïnverteerd wordt doorgegeven, wordt dan respectievelijk of ( + ) doorgegeven. us F =. + ( + ) Vraag 7: a Men kan door gebruik te maken van de MUX-expressie de volgende logische expressie herleiden: F = Vul dit in in een Karnaugh-map om de maxtermen te vinden: F = ( + + )( + + ) => antwoord a Vraag 8: d set c? ld b b add b b st R3 b bc labela b xor b b labela: add b b bc labelb b labelb: add R3 b Vraag 9: b. +.

12 Vraag : a Kijk, nende bij toestand F (uitgang is ), hoe je daar terecht kan komen. ntwoord a beschrijft een mogelijkheid hoe dat kan, namelijk via toestanden E E F. Vraag : d dres wil zeggen: huidige toestand is E (). ingangswaarden y en x zijn en. Uit het toestandsdiagram volgt dan: de volgende toestand is F () en de uitgangswaarde z is, oftwel 3,2,, =. Vraag 2: d RQP N NW W ZW Z ZO O NO Vraag 3: b NNa a NORb b NNc c Nd d e functie die wordt geïmplementeerd met de schakeling is: f(x 3, x 2, x, x ) = z = x 3 (x + x 2 x ) en dit komt overeen met: Σ m(,,3,4,5). Vraag 4: c var_s := and := à res := nor := res2 <= nor (= ) Vraag 5: c aa bb e waarheidstabel van de functie F is: j a b c d cc dd

13 Vraag 6: b Vraag 7: a Vraag 8: c Open vragen Vraag 9 S X= S X= S2 X= X= Vraag 2 K-maps : : T : S S S S T = + + S + Vraag 2 ld R : laad R in xor b : bij R < wordt [7] nu. and b : test op [7]: [7] = => = => z = bz Rneg : z = => spring naar Rneg

14 Vraag 22: entity ff is port (ingang, reset, set, clk: in bit; uitgang: out bit); end ff; architecture behaviour of ff is lbl: process(reset, clk) if (reset = '') then uitgang <= ''; elsif (clk event and clk = '') then if (set = '') then uitgang <= ''; else uitgang <= ingang; end if; end if; end process clk_process; end architecture behaviour; Vraag 23: entity bit3_rotator is port (links, niets, rechts, load, reset, clk: in bit; -- van de signalen links, niets, rechts, load wordt -- aangenomen dat nooit twee tegelijk '' kunnen zijn. ingang : in bit_vector (2 downto ); uitgang: out bit_vector (2 downto )); end bit3_rotator; architecture struct of bit3_rotator is component ff port (ingang, reset, set, clk: in bit; uitgang: out bit); end component; signal din, din, din2 : bit; signal duit, duit, duit2 : bit; inst: ff port map (din, reset, '', clk, duit); inst: ff port map (din, reset, '', clk, duit); inst2: ff port map (din2, reset, '', clk, duit2); din <= (links and duit2) or (rechts and duit) or (niets and duit) or (load and ingang()); din <= (links and duit) or (rechts and duit2) or (niets and duit) or (load and ingang()); din2 <= (links and duit) or (rechts and duit) or (niets and duit2) or (load and ingang(2)); uitgang() <= duit; uitgang() <= duit; uitgang(2) <= duit2; end struct; o o o o o o o

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college EE4: igitale Systemen Sc. EE, e jaar, 22-23, 3e college rjan van Genderen, Stephan Wong, omputer Engineering 8-2-23 elft University of Technology hallenge the future Hoorcollege 3 anonieke vorm two-level

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college EE4: igitale Systemen BSc. EE, e jaar, 22-23, 4e college Arjan van Genderen, Stephan Wong, Computer Engineering 2-2-23 elft University of Technology Challenge the future Mededelingen Volgende week tijdens

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

VOORBLAD SCHRIFTELIJKE TOETSEN

VOORBLAD SCHRIFTELIJKE TOETSEN VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING : ELEKTROTECHNIEK TOETSCODE : UITWERKINGEN INLDIG GROEP : EP, EQD TOETSDATUM : 3 OKTOBER 24 TIJD : 3: 4:3 AANTAL PAGINA S (incl. voorblad) : DEZE TOETS BESTAAT

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Uitwerkingen vorige opgavenserie Behandelen oefenopgaven

Nadere informatie

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur. Technische Universiteit Eindhoven Faculteit Elektrotechniek EXAMENONDEDEEL ELEKTONISHE INSTUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot 2.00 uur. Het gebruik van het collegedictaat Elektronische

Nadere informatie

Proeftentamen Digitale technieken

Proeftentamen Digitale technieken Proeftentamen Digitale technieken André Deutz October 17, 2007 De opgaven kunnen uiteraard in willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

scc =!F3.!F2 b) CD AB

scc =!F3.!F2 b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische Schakelingen (ET1205-D2) Datum: maandag 30 juni 2008 Tijd: 09.00 12.00 uur Naam: Studienummer: Cijfer Lees dit

Nadere informatie

Oefeningen Digitale Elektronica (I), deel 4

Oefeningen Digitale Elektronica (I), deel 4 Oefeningen Digitale Elektronica (I), deel 4 Oefeningen op min en maxtermen, decoders, demultiplexers en multiplexers (hoofdstuk 3, 3.6 3.7) Wat moet ik kunnen na deze oefeningen? Ik kan de minterm en maxtermrealisatie

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Oefenopgaven nr. 1 Opgave 1.1

Oefenopgaven nr. 1 Opgave 1.1 Oefenopgaven nr. 1 Opgave 1.1 Beschouw onderstaande transistor. De technologie is de 0.25µm technologie uit het boek, maar we nemen λ=0 en V DSAT =. (Opm.: De zinsnede is de 0.25µm technologie uit het

Nadere informatie

Deeltoets Digitale technieken

Deeltoets Digitale technieken Deeltoets Digitale technieken André Deutz 22 oktober, 2007 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Tentamen Engineering 2011/2012: Opleiding: ESE, HN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Vakcode: DSO deel 2 Lokaal: Docent: RZ antal tentamenbladen:

Nadere informatie

Hoe werkt een computer precies?

Hoe werkt een computer precies? Hoe werkt een computer precies? Met steun van stichting Edict Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Overview Introductie SIM-PL Simulatietool voor werking computer

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Na de geziene leerstof zijn we stilaan in staat om praktisch toepasbare digitale schakelingen de ontwerpen en te realiseren. ij

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Digitale Systemen (EE1 410)

Digitale Systemen (EE1 410) Digitale Systemen (EE1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 26-4-2011 ET1 410 (Stephan Wong) Pagina 1 Samenvatting 1 ste college Wat is VHDL? Waarvoor

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 4 Datapadsystemen Jesse op den Brouw DIGSE2/2016-2017 Complexe systemen In principe kan elk sequentiëel systeem beschreven worden met een toestandsdiagram. In de praktijk

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy06 2e jaar bachelor AI, 2e semester 23 september 2013 13u-15u IWO 4.04A (blauw), Academisch Medisch Centrum, Meidreef 29, Amsterdam ZuidOost Het is niet toegestaan communicatieapparatuur

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/214-215 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 10e hoorcollege Arjan van Genderen, Stephan Wong, Computer Engineering 13-5-2013 Delft University of Technology Challenge the future Hoorcollege 10

Nadere informatie

Tentamen Programmeren in C (EE1400)

Tentamen Programmeren in C (EE1400) TU Delft Tentamen Programmeren in C (EE1400) 3 feb. 2012, 9.00 12.00 Faculteit EWI - Zet op elk antwoordblad je naam en studienummer. - Beantwoord alle vragen zo nauwkeurig mogelijk. - Wanneer C code gevraagd

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 3 Synthese, simuatie, testbenches, rekenen in VHDL Jesse op den Brouw DIGSE1/2018-2019 Synthese Synthese is het proces van het automatisch genereren van hardware uit

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 17 2 Elementaire bewerkingen In dit hoofdstuk leer je hoe werken met binaire getallen en hexadecimale getallen omgezet wordt naar een decimaal getal en omgekeerd. Vervolgens

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN Pa ELO/IT irk Smets Vereenvoudigen van logische vergelijkingen formules uit de logische algebra met vallen en opstaan? Venn-diagrammen tot 3 variabelen een Karnaugh-kaart in principe tot 6 variabelen handig

Nadere informatie

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde Alles op de kop Johan Smilde Dobbelsteen D02i werkt precies andersom! Deze dobbelsteen heeft omgekeerde uitgangen ten opzichte van de vorige. Dat wil zeggen dat de uitgangen hier niet actief hoog zijn

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten 2018/2019 Elektrotechniek Semester 1.2 Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Wasif

Nadere informatie

Digital Systems (Exam) (TI2720-B)

Digital Systems (Exam) (TI2720-B) Digital Systems (Exam) (TI2720-B) Monday 5 November 2012 (09:00 12:00) Directions for filling in the answer sheet: - Fill in the answer sheet using a pencil (eraser allowed) or ballpoint. (ensure high

Nadere informatie

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 10 November 2014

Processoren. Marc Seutter & David N. Jansen 10 November 2014 Processoren Marc Seutter & David N. Jansen 10 November 2014 Leerdoelen Inzicht krijgen in de opbouw van de hardware van een computer en de instructies van een processor. je construeert een (eenvoudige)

Nadere informatie

Digital Systems (Exam) (TI2720-B)

Digital Systems (Exam) (TI2720-B) Digital Systems (Exam) (TI2720-B) Monday 07 November 2011 (09:00 12:00) Directions for filling in the answer sheet: - Fill in answer sheet using a pencil (eraser allowed) or ballpoint. (ensure high enough

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Overzicht van resultaten Opmerkingen over inleveren Uitwerkingen

Nadere informatie

Ontwerp van digitale systemen. in VHDL

Ontwerp van digitale systemen. in VHDL Ontwerp van digitale systemen in VHDL Luc Friant Inhoud - 1 - Inhoud - 2 - Inhoud Voorwoord 1. Hoofdstuk 1 Algemene structuur in VHDL 2. Hoofdstuk 2 De beschrijving van sequentiële logica in VHDL 3. Hoofdstuk

Nadere informatie

logische schakelingen & logica antwoorden

logische schakelingen & logica antwoorden 2017 logische schakelingen & logica antwoorden F. Vonk versie 4 2-8-2017 inhoudsopgave waarheidstabellen... - 3 - logische schakelingen... - 4 - meer over logische schakelingen... - 8 - logica... - 10

Nadere informatie

Aansturing van een stappenmotor

Aansturing van een stappenmotor Cursus VHDL deel 2: Aansturing van een stappenmotor Jan Genoe In dit uitgewerkt voorbeeld schetsen we de werkwijze die moet gevolgd worden om uitgaande van een probleemstelling tot een concrete en werkende

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 3: Eenvoudige externe hardware & hardware programmeren in C Hardware programmeren in C Inmiddels ben je al aardig op gang gekomen met het programmeren van microcontrollers.

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

logische schakelingen & logica

logische schakelingen & logica 2016 logische schakelingen & logica F. Vonk versie 2 14-6-2016 Inhoudsopgave 1. inleiding... - 2-2. optellen... - 3-3. logische poorten... - 6-4. waarheidstabellen... - 8-5. logische schakelingen... -

Nadere informatie

Oefenopgaven 1 Devices Opgave 1.1

Oefenopgaven 1 Devices Opgave 1.1 Oefenopgaven 1 Devices Opgave 1.1 Beschouw onderstaande transistor. De technologie is de 0.25µm technologie uit het boek, maar we nemen λ=0 en V DSAT =. (Opm.: De zinsnede is de 0.25µm technologie uit

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23 469 Appendix symbolen door prof. ir. C.H. Eversdijk & ir. A.P. Thijssen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 C-afhankelijkheid 471 A.19 Symbolen voor latches

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 2 Binaire getallen, BCD, Gray, ASCII, 7-segment Jesse op den Brouw INLDIG/205-206 Talstelsels Wij mensen zijn opgegroeid met het rekenen in het tientallig of decimaal talstelsel,

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 2 Binaire getallen, BCD, Gray, ASCII, 7-segment Jesse op den Brouw INLDIG/205-206 Decimaal talstelsel Ons talstelsel is een zogenaamd positioneel talstelsel. Een getal

Nadere informatie

Hertentamen Lineaire Schakelingen (EE1300)

Hertentamen Lineaire Schakelingen (EE1300) Hertentamen Lineaire Schakelingen (EE1300) Plaats: TN-4 A207 --- TN-2 F206 --- TN-5 A211 --- TN-1 F205 Datum: 12 april 2013 Tijd: 09:00-12:00 uur Dit tentamen bestaat uit 5 opgaven. Mensen met een dyslexie-

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 12 November 2013

Processoren. Marc Seutter & David N. Jansen 12 November 2013 Processoren Marc Seutter & David N. Jansen 12 November 2013 Leerdoel opbouw van de hardware in een computer je construeert een (eenvoudige) processor je schrijft een (kort) assembly-programma je kunt uitleggen:

Nadere informatie

Digital Systems (Exam) (TI2720-B)

Digital Systems (Exam) (TI2720-B) Digital Systems (Exam) (TI2720-B) Friday 25 January 2013 (14:00-17:00) Directions for f i l l i n g in the answer sheet: - F i l l in the answer sheet using a pencil (eraser allowed) or ballpoint, (ensure

Nadere informatie

De keuzestructuur. Versie DD

De keuzestructuur. Versie DD De keuzestructuur Versie DD Tot nu toe Programma in rechte lijn = sequentie of opeenvolging Nieuw Vertakking in parcours = selectie of keuzestructuur Controlestructuren Opeenvolging = sequentie Keuze =

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

Logische Schakelingen

Logische Schakelingen Logische Schakelingen Reader Elektro 2.2 Erik Dahmen Techniek en Gebouwde Omgeving Logische Schakelingen Inhoudsopgave: Definitie Logische Schakelingen EN / NEN functie OF / NOF functie NIET-functie De

Nadere informatie

Tentamen Kunstmatige Intelligentie

Tentamen Kunstmatige Intelligentie Naam: Studentnr: Tentamen Kunstmatige Intelligentie Department of Information and Computing Sciences Opleiding Informatica Universiteit Utrecht Donderdag 2 februari 2012 08.30 10:30, EDUCA-ALFA Vooraf

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

Logische bit-instructies

Logische bit-instructies Logische bit-instructies I. I. (MCRA) I. (MCR

Nadere informatie

Rekenen aan wortels Werkblad =

Rekenen aan wortels Werkblad = Rekenen aan wortels Werkblad 546121 = Vooraf De vragen en opdrachten in dit werkblad die vooraf gegaan worden door, moeten schriftelijk worden beantwoord. Daarbij moet altijd duidelijk zijn hoe de antwoorden

Nadere informatie

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN J.E.J. op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 28 maart 25 J.E.J.opdenBrouw@hhs.nl Week.. Ontwerp een omschakelbare

Nadere informatie

Basisschakelingen en poorten in de CMOS technologie

Basisschakelingen en poorten in de CMOS technologie asisschakelingen en poorten in de CMOS technologie Jan Genoe KHLim Universitaire Campus, Gebouw -359 Diepenbeek www.khlim.be/~jgenoe In dit hoofdstuk bespreken we de basisschakelingen en poorten in de

Nadere informatie