Toets Digitale Systemen 01/06/2006, uur

Maat: px
Weergave met pagina beginnen:

Download "Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur"

Transcriptie

1 Toets igitale Systemen 0/06/2006, uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele aanwijzingen bij het invullen van de MC-formulieren: slechts antwoord is het correcte antwoord (NB: a,b,c,d staan door elkaar) vul de gekozen vakjes helemaal in (liefst met ballpoint, of met potlood) vul het formulier pas aan het einde in om fouten te voorkomen geen veranderingen aanbrengen: haal dan een nieuw formulier het onbeantwoord laten van een vraag werkt altijd in uw nadeel vergeet niet uw studienummer in te vullen (cijfers en vakjes!) U mag het boek "Contemporary Logic esign", het VHL boek, en eventuele prints van het college slides bij u hebben. Verder dus niets! Wij benadrukken dat u tijdens toetsen het tentamen dus GEEN gebruik mag maken van oude examens en toetsen. Gebruikt u deze toch dan zijn de tentamen fraude regels van toepassing. e toets is niet verplicht maar kan u in sommige gevallen van een 5 naar een 6 helpen bij het eindtentamen. Succes!! A. MC-vragen (gewicht: 0 6 % = 60 %) Vraag Gegeven bijgaand circuit: A Q it circuit is B Q a. een latch met geen verboden ingangscombinatie b. een latch; AB = 0 is de verboden ingangscombinatie c. geen bruikbaar geheugenelement: de Hold-combinatie ontbreekt d. geen van bovenstaande antwoorden. Vraag 2 Gegeven het volgende circuit met positive edge-triggered filpflops: In FF Q FF Q FF Q FF Q Out CLK CLK: t t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9...

2 Indien voor tijdstip t op In het constante signaal X wordt gezet, wanneer wordt X op zijn vroegst op Out waargenomen? Op of direct na: a. t 4 b. t 5 c. t 6 d. t 7 of later. Vraag 3 Welke van de volgende vereenvoudigingen is correct? A B C a. F = ((a + b )(a + c)) b. F = a d + c (a + bd) c. F = a(c + b) + cb + bd d. geen van bovenstaande antwoorden. F Vraag 4 Gegeven bijgaand circuit: e ROM heeft de volgende inhoud: A A a b 2 bit ROM 2:4 EC A A0 0 S S0 G F Welke van de volgende vereenvoudigingen is correct? c a. F = ca + cb b. F = c (a b) c. F = (a b) + cb d. geen van bovenstaande antwoorden. 2

3 Vraag 5 Gegeven de berekening C = A B in 2 s complement notatie. Er geldt A = 000 en C = 000. Wat is de waarde van B? a. B = -8 b. B = 46 c. B = 4 d. B kan niet in een 6-bit 2 s complement representatie worden berekend wegens overflow. Vraag 6 Gegeven de volgende elta I assembly code: set ld and add st xor add c 0000b 0000b 0000b R 0000b b Wat gebeurt met A, Z en C na de executie van de laatste instructie? a. A = 08 H, C = 0, Z = 0 b. A = 08 H, C =, Z = 0 c. A = 09 H, C = 0, Z = d. A = 09 H, C =, Z = 0 Vraag 7 e onderstaande schakeling bestaat uit een nand -gate en een nor -gate waarop 4 signalen zijn aangesloten volgens de 9-waarden stdlogic standard. e uit -signaal is eveneens een stdlogic signaal. Wat is de waarde van het uitgangssignaal uit? (Hint: gebruik de Uittreksel van std_logic_64 ) a. U b. L c. X d. Z 3

4 Vraag 8 Gegeven is een hardware component met de volgende entity en architecture beschrijving: entity onbekend is port (a, b, c: in bit; d: out bit); end onbekend; architecture gedrag of onbekend is P: process (b, c) is if (b= ) then d<= 0 ; elsif (c event and c= 0 ) then d<=not(a); else d<=not(a); end if; end process; end gedrag; Welk van de onderstaande beweringen is juist? a. e component is een -flipflop met c als klok die werkt op alleen de neergaande flank en heeft tevens een asynchrone reset. b. e component is een -flipflop met c als klok die werkt op beide flanken en heeft tevens een asynchrone reset. c. e component is een T-flipflop met c als klok die werkt op beide flanken en heeft tevens een synchrone reset. d. e component is een T-flipflop met c als klok die werkt op beide flanken en heeft tevens een asynchrone reset. Vraag 9 Hieronder is de beschrijving van een entity schakeling gegeven met een aantal mogelijke architectures: entity schakeling is port (a, b, c: in bit; d: out bit); end schakeling; architecture een of schakeling is process (a,b) if (b= ) then d<=a; end process; end een; architecture twee of schakeling is process (a,b,c) if (c event and c= ) then if (b= ) then d<= 0 ; else d<=a; end if; end if; end process; end twee; 4

5 architecture drie of schakeling is process (b) if (b= ) then d<=a; end if; end process; end drie; architecture vier of schakeling is process (a,b,c) if (b= ) then d<= 0 ; elsif (c event and c= ) then d<=a; end if; end process; end vier; architecture vijf of schakeling is process (a) if (b= ) then d<=a; end if; end process; end vijf; Zie bovenstaande beschrijving van schakeling. Welke uitspraak is correct? a. architecture een beschrijft de werking van een flipflop met het signaal a als input, het signaal b als reset, en het signaal c als klok. b. architecture een beschrijft de werking van een latch met het signaal a als input en het signaal b als enable. c. architecture drie beschrijft de werking van een latch met het signaal a als input en het signaal b als enable. d. architecture vijf beschrijft de werking van een flipflop met het signaal a als input en het signaal b als klok. 5

6 Vraag 0 Hieronder wordt een beschrijving gegeven van een 4-bits comparator. e binaire signalen a en b worden vergeleken. Het resultaat hiervan wordt de boolean c. We geven 3 entitities en een architecture: --mogelijkheid : library IEEE: use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; entity comparator is port (a: in unsigned(3 downto 0); b: in unsigned(3 downto 0); c: out boolean); end comparator; --mogelijkheid 2: library IEEE: use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; entity comparator is port (a: in signed(3 downto 0); b: in signed(3 downto 0); c: out boolean); end comparator; --mogelijkheid 3: library IEEE: use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; entity comparator is port (a: in unsigned(3 downto 0); b: in std_logic_vector(3 downto 0); c: out boolean); end comparator; architecture werking of comparator is c <= (a < b); end werking; Welke van de drie entities wordt door de VHL compiler niet geaccepteerd? a. mogelijkheid wordt niet geaccepteerd. b. mogelijkheid 2 wordt niet geaccepteerd. c. mogelijkheid 3 wordt niet geaccepteerd. d. alle drie worden niet geaccepteerd. 6

7 Uittreksel van std_logic_ tables for logical operations truth table for "and" function CONSTANT and_table : stdlogic_table := ( U X 0 Z W L H ( 'U', 'U', '0', 'U', 'U', 'U', '0', 'U', 'U' ), -- U ( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ), -- X ( '0', '0', '0', '0', '0', '0', '0', '0', '0' ), -- 0 ( 'U', 'X', '0', '', 'X', 'X', '0', '', 'X' ), -- ( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ), -- Z ( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ), -- W ( '0', '0', '0', '0', '0', '0', '0', '0', '0' ), -- L ( 'U', 'X', '0', '', 'X', 'X', '0', '', 'X' ), -- H ( 'U', 'X', '0', 'X', 'X', 'X', '0', 'X', 'X' ) -- - ); -- truth table for "or" function CONSTANT or_table : stdlogic_table := ( U X 0 Z W L H ( 'U', 'U', 'U', '', 'U', 'U', 'U', '', 'U' ), -- U ( 'U', 'X', 'X', '', 'X', 'X', 'X', '', 'X' ), -- X ( 'U', 'X', '0', '', 'X', 'X', '0', '', 'X' ), -- 0 ( '', '', '', '', '', '', '', '', '' ), -- ( 'U', 'X', 'X', '', 'X', 'X', 'X', '', 'X' ), -- Z ( 'U', 'X', 'X', '', 'X', 'X', 'X', '', 'X' ), -- W ( 'U', 'X', '0', '', 'X', 'X', '0', '', 'X' ), -- L ( '', '', '', '', '', '', '', '', '' ), -- H ( 'U', 'X', 'X', '', 'X', 'X', 'X', '', 'X' ) -- - ); -- truth table for "not" function CONSTANT not_table: stdlogic_d := U X 0 Z W L H ( 'U', 'X', '', '0', 'X', 'X', '', '0', 'X' ); overloaded logical operators ( with optimizing hints ) FUNCTION "and" ( l : std_ulogic; r : std_ulogic ) RETURN UX0 IS RETURN (and_table(l, r)); EN "and"; FUNCTION "nand" ( l : std_ulogic; r : std_ulogic ) RETURN UX0 IS RETURN (not_table ( and_table(l, r))); EN "nand"; FUNCTION "or" ( l : std_ulogic; r : std_ulogic ) RETURN UX0 IS RETURN (or_table(l, r)); EN "or"; FUNCTION "nor" ( l : std_ulogic; r : std_ulogic ) RETURN UX0 IS RETURN (not_table ( or_table( l, r ))); EN "nor"; FUNCTION "not" ( l : std_ulogic ) RETURN UX0 IS RETURN (not_table(l)); EN "not"; 7

8 B. Open vragen (gewicht: 40%) Stel u wordt gevraagd om een digitaal systeem te ontwerpen die een inbraakbeveiliging van een woonhuis realiseert. e inbraakbeveiliging kan door de eigenaar mbv. een afstandsbediening aan en uit worden gezet. Als de eigenaar zijn huis verlaat zet hij de inbraakbeveiliging aan. In de stand aan moet het systeem als volgt werken. Als het woonhuis betreden wordt (hetzij via de voordeur, hetzij via een andere ingang), wordt (via sensors) een timer geactiveerd, die na een vertragingstijd Δ een alarm doet afgaan. Indien de eigenaar voor die tijd de inbraakbeveiliging mbv. zijn afstandsbediening uit zet, is er niets aan de hand. In geval van een inbreker zal de inbraakbeveiliging echter niet uitgezet worden, waarop na Δ het alarm zal afgaan. it alarm kan alleen beëindigd worden door de inbraakbeveiliging uit te zetten. U wordt gevraagd om een FSM te ontwerpen die de besturing vormt van de inbraakbeveiliging. U heeft de beschikking over de volgende signalen: signaal betekenis: E ingangsignaal: ( enable ), E = 0: er is op uit gedrukt op de afst.bed. E = : er is op aan gedrukt op de afst.bed. S ingangsignaal: ( sensor ), S = 0: deuren en ramen zijn dicht en heel S = : deuren of ramen zijn open of kapot A uitgangsignaal: ( alarm ), A = 0: alarm niet actief A = : alarm actief Naast bovengenoemde signalen E, S, en A heeft u de beschikking over een clocksignaal CLK. Om de gewenste vertragingstijd te implementeren kunt u gebruik maken van een reeds ontworpen timer met ingangsignaal R (run timer) en uitgangsignaal T (timeout). Het gedrag van de timer kunt u bepalen aan de hand van de bijbehorende VHL specificatie (wat gebeurt er met T en hoe moet R bestuurd worden?): ENTITY timer IS PORT ( R: IN bit; -- run T: OUT bit; -- timeout CLK: IN bit -- clock ); EN timer; ARCHITECTURE timer_arch OF timer IS PROCESS (CLK) VARIABLE count: INTEGER := 0; IF (CLK event AN CLK = ) THEN IF (R = 0 ) THEN T <= 0 ; count := 0; ELSE IF (count < ) THEN T <= 0 ; count := count + ; ELSE T <= ; EN IF; EN IF; EN IF; EN PROCESS; EN timer_arch; 8

9 Vraag (0%) Kies voor de FSM van de besturing een Moore machine en specificeer het gewenste gedrag mbv. een ASM (dit moet een ASM zijn!) met gebruikmaking van de eerder beschreven signalen A, E, R, S, T. eze ASM vormt tevens de basis voor de volgende vraag. (Ook als uw ASM fout is, wordt uw ASM als uitgangspunt gehanteerd bij de beoordeling). Vergeet niet dat de inbraakbeveiliging altijd uit gezet moet kunnen worden. Vraag 2 (5%) Ontwerp nu een hardware-oplossing voor de besturing aan de hand van uw FS/ASM. a. Schrijf de waarheidstabel op, die aangeeft hoe de nieuwe state (S i, i = 0.. 3) en uitgangsignalen (A, R) afhangen van de huidige state (S i ) en ingangsignalen (E, S, T). b. Kies een state assignment die de states S i afbeeldt op de state-variabelen Q j. e state-opvolging hoeft niet progressief te zijn. c. Hoeveel ingangsvariabelen hebben de K-maps van Q j +, A en R? Teken de K-maps alleen voor A en R, en leidt de expressies af voor A en R. Vraag 3 Gegeven het volgende systeem met de getekende componenten: Component_type I: - architecture fast - gate_delay = 3ns Systeem Component_type I: - architecture small - gate_delay = 4ns A C A C B B Component_type I: - architecture slow - gate_delay = 6ns A C Component_type II: - gate_delay = 2ns A B B C Vraag 3 a (5%) Geef de entity beschrijvingen van de getekende componenten. Let op: tel goed het aantal benodigde componenten. Let op: benut de mogelijkheid in VHL om verschillende delays te kunnen specificeren voor entities. Vraag 3 b (0%) Geef de entity beschrijving en de structurele architecture beschrijving van het gegeven systeem. Hierbij wordt aangenomen dat de benodigde componenten in de huidige werk-directory staan. Let op: vergeet niet alle benodigde declaraties te doen binnen de architecture beschrijving. 9

10 Uitwerkingen Toets igitale Systemen 0/06/2006, uur MC-vragen:. b 6. d 2. a 7. c 3. c 8. d 4. a 9. b 5. d 0. c Vraag e ASM ziet er als volgt uit: S0 0 E S 0 E S S2 R = 0 E T S3 A = E 0

11 Vraag 2 a) e toestandstabel: Huidige state Volgende state Uitgang EST 0XX R A S0 S0 S S S S 0 0 S S0 S S S2 S2 0 0 S2 S0 S2 S3 S2 S3 0 S3 S0 S3 S3 S3 S3 0 b) State assignment: state Q Q S0 0 0 S 0 S2 S3 0 c) e K-maps van Q + 0, Q +, A en R hebben respectievelijk 5, 5, 2 en 2 variabelen (zie ook toestandstabel). e K-maps van R en A (gegeven de eerdere state assignment): R: Q : 0 Q 0 : => R = Q. Q A: Q : 0 Q 0 : => A = Q. Q

12 Vraag 3 a) entity component is generic (gate_delay: Time = 2 ns); port (a, b: in bit; c, d: out bit); end component; entity component2 is generic (gate_delay: Time = 2ns); port (a, b, c: in bit; d: out bit); end component2; b) entity systeem is port (a, b, c, d: in bit; e, f, g: out bit); end component2; architecture circuit of systeem is --component and signal declarations component component is generic (gate_delay: Time = 2ns); port (a, b: in bit; c, d: out bit); end component; component component2 is generic (gate_delay: Time = 2ns); port (a, b, c: in bit; d: out bit); end component; signal s, s2, s3, s4: bit; -- configuration specification for C: component use entity WORK.component(fast); for C2: component use entity WORK.component(slow); for C3: component use entity WORK.component(small); C: component generic map (gate_delay => 3 ns) port map (a => a, b => b, c => s, d => s2); C2: component generic map (gate_delay => 6 ns) port map (a => c, b => d, c => s3, d => s4); C3: component generic map (gate_delay => 4 ns) port map (a => s, b => s2, c => e, d => f); C4: component2 port map (a => s2, b => s3, c => s4, d => g); end circuit; 2

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Digitale Systemen (EE1 410)

Digitale Systemen (EE1 410) Digitale Systemen (EE1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 26-4-2011 ET1 410 (Stephan Wong) Pagina 1 Samenvatting 1 ste college Wat is VHDL? Waarvoor

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Proeftentamen Digitale technieken

Proeftentamen Digitale technieken Proeftentamen Digitale technieken André Deutz October 17, 2007 De opgaven kunnen uiteraard in willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

Deeltoets Digitale technieken

Deeltoets Digitale technieken Deeltoets Digitale technieken André Deutz 22 oktober, 2007 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 3 Synthese, simuatie, testbenches, rekenen in VHDL Jesse op den Brouw DIGSE1/2018-2019 Synthese Synthese is het proces van het automatisch genereren van hardware uit

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college EE4: igitale Systemen BSc. EE, e jaar, 22-23, 4e college Arjan van Genderen, Stephan Wong, Computer Engineering 2-2-23 elft University of Technology Challenge the future Mededelingen Volgende week tijdens

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 4 Datapadsystemen Jesse op den Brouw DIGSE2/2016-2017 Complexe systemen In principe kan elk sequentiëel systeem beschreven worden met een toestandsdiagram. In de praktijk

Nadere informatie

Toets In2305-ii Embedded Programming Dinsdag 28 November 2006, 15:45-16:30

Toets In2305-ii Embedded Programming Dinsdag 28 November 2006, 15:45-16:30 Toets In2305-ii Embedded Programming Dinsdag 28 November 2006, 15:45-16:30 Teneinde misverstanden over de syntactische geldigheid van code fragmenten in dit tentamen te voorkomen, zal altijd worden gesproken

Nadere informatie

Ontwerp van digitale systemen. in VHDL

Ontwerp van digitale systemen. in VHDL Ontwerp van digitale systemen in VHDL Luc Friant Inhoud - 1 - Inhoud - 2 - Inhoud Voorwoord 1. Hoofdstuk 1 Algemene structuur in VHDL 2. Hoofdstuk 2 De beschrijving van sequentiële logica in VHDL 3. Hoofdstuk

Nadere informatie

VOORBLAD SCHRIFTELIJKE TOETSEN

VOORBLAD SCHRIFTELIJKE TOETSEN VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING : ELEKTROTECHNIEK TOETSCODE : UITWERKINGEN INLDIG GROEP : EP, EQD TOETSDATUM : 3 OKTOBER 24 TIJD : 3: 4:3 AANTAL PAGINA S (incl. voorblad) : DEZE TOETS BESTAAT

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

clk_32768 mins_up secs_up countdown clear time_zero

clk_32768 mins_up secs_up countdown clear time_zero Opdracht week 3 en 4 kookwekker Inleiding Het koken van een eitje lukt de meeste mensen nog. Toch zijn er wel mensen die dat niet zonder een kookwekker kunnen, met als gevolg een hard gekookt ei (of juiste

Nadere informatie

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Uitwerkingen vorige opgavenserie Behandelen oefenopgaven

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy06 2e jaar bachelor AI, 2e semester 23 september 2013 13u-15u IWO 4.04A (blauw), Academisch Medisch Centrum, Meidreef 29, Amsterdam ZuidOost Het is niet toegestaan communicatieapparatuur

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 10e hoorcollege Arjan van Genderen, Stephan Wong, Computer Engineering 13-5-2013 Delft University of Technology Challenge the future Hoorcollege 10

Nadere informatie

Voorbeeldtentamen Inleiding programmeren (IN1608WI), Oktober 2003, , Technische Universiteit Delft, Faculteit EWI, Afdeling 2.

Voorbeeldtentamen Inleiding programmeren (IN1608WI), Oktober 2003, , Technische Universiteit Delft, Faculteit EWI, Afdeling 2. Voorbeeldtentamen Inleiding programmeren (IN1608WI), Oktober 2003, 14.00-15.30, Technische Universiteit Delft, Faculteit EWI, Afdeling 2. Dit tentamen bestaat uit twee delen. Deel 1 (14.00-14.45, gesloten

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1 Today s class Digital Logic Friday, October 19, 2007 Computer Architecture I - Class 8 1 Digital circuits Two logical values Binary 0 (signal between 0 and 1 volt) Binary 1 (signal between 2 and 5 volts)

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

Zelftest Inleiding Programmeren

Zelftest Inleiding Programmeren Zelftest Inleiding Programmeren Document: n0824test.fm 22/01/2013 ABIS Training & Consulting P.O. Box 220 B-3000 Leuven Belgium TRAINING & CONSULTING INLEIDING BIJ DE ZELFTEST INLEIDING PROGRAMMEREN Deze

Nadere informatie

OPDRACHTEN PRACTICUM DIGSE1. J.E.J op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 19 maart 2016 J.E.J.opdenBrouw@hhs.

OPDRACHTEN PRACTICUM DIGSE1. J.E.J op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 19 maart 2016 J.E.J.opdenBrouw@hhs. OPDRACHTEN PRACTICUM DIGSE1 J.E.J op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 19 maart 2016 J.E.J.opdenBrouw@hhs.nl Inleiding Het practicum is zodanig van opzet en moeilijkheidsgraad dat

Nadere informatie

Tutorial. Quartus II. State machine editor. State machine wizard

Tutorial. Quartus II. State machine editor. State machine wizard Tutorial Quartus II State machine editor & State machine wizard 29 april 2014 Pieter van der Star Inhoudsopgave State machine editor ------------------------------------------------------------------------------------------------------2

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

Slimme schakelingen (2)

Slimme schakelingen (2) Slimme schakelingen (2) Technische informatica in de zorg Thijs Harleman Modulecode: TMGZ-AMAL23 23 februari 2015 1 Overzicht college Doel van dit college: Verdiepen van kennis en inzicht van het ontwerpen

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Toets 1 IEEE, Modules 1 en 2, Versie 1

Toets 1 IEEE, Modules 1 en 2, Versie 1 Toets 1 IEEE, Modules 1 en 2, Versie 1 Datum: 16 september 2009 Tijd: 10:45 12:45 (120 minuten) Het gebruik van een rekenmachine is niet toegestaan. Deze toets telt 8 opgaven en een bonusopgave Werk systematisch

Nadere informatie

Tentamen Programmeren in C (EE1400)

Tentamen Programmeren in C (EE1400) TU Delft Tentamen Programmeren in C (EE1400) 3 feb. 2012, 9.00 12.00 Faculteit EWI - Zet op elk antwoordblad je naam en studienummer. - Beantwoord alle vragen zo nauwkeurig mogelijk. - Wanneer C code gevraagd

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Handleiding Scorebord Horstacker (Nijmegen) Wildcats; november 2011; Versie 1.1 Page 1

Handleiding Scorebord Horstacker (Nijmegen) Wildcats; november 2011; Versie 1.1 Page 1 Wildcats; november 2011; Versie 1.1 Page 1 Foto-1: Scorebord met weergave basis voor Basketball wedstrijd Wildcats; november 2011; Versie 1.1 Page 2 Voorbereiding 1. Kies juiste Sport Voor BASKETBALL moet

Nadere informatie

b) Teken op de bijlage welke lampjes van het klokje branden om 19:45:52. Schrijf eronder hoe je dit bepaald/berekend hebt. (3p)

b) Teken op de bijlage welke lampjes van het klokje branden om 19:45:52. Schrijf eronder hoe je dit bepaald/berekend hebt. (3p) NATUURKUNDE KLAS 4 PW HOOFDSTUK PW HOOFDSTUK 3-23/03/2011 Totaal: 3 opgaven, 29 punten. Gebruik eigen BINAS toegestaan. Opgave 1: binair klokje Er bestaan klokjes die de tijd binair weergeven. Zie figuur

Nadere informatie

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave):

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): D is de normale data ingang C is de normale fase 1 klok I is de data ingang van het shift-regiester A is de klok

Nadere informatie

Oefeningen Digitale Elektronica (I), deel 4

Oefeningen Digitale Elektronica (I), deel 4 Oefeningen Digitale Elektronica (I), deel 4 Oefeningen op min en maxtermen, decoders, demultiplexers en multiplexers (hoofdstuk 3, 3.6 3.7) Wat moet ik kunnen na deze oefeningen? Ik kan de minterm en maxtermrealisatie

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Aansturing van een stappenmotor

Aansturing van een stappenmotor Cursus VHDL deel 2: Aansturing van een stappenmotor Jan Genoe In dit uitgewerkt voorbeeld schetsen we de werkwijze die moet gevolgd worden om uitgaande van een probleemstelling tot een concrete en werkende

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 1e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 1e college EE4: Digitale Systemen BSc. EE, e jaar, 22-23, e college Arjan van Genderen, Stephan Wong, Computer Engineering -2-23 Delft University of Technology Challenge the future Context: Computersystemen (CS)

Nadere informatie

Digitale Techniek. Jesse op den Brouw. Een inleiding in het ontwerpen van digitale systemen. Deel 2

Digitale Techniek. Jesse op den Brouw. Een inleiding in het ontwerpen van digitale systemen. Deel 2 Digitale Techniek Een inleiding in het ontwerpen van digitale systemen Jesse op den Brouw Deel 2 2019 Jesse op den Brouw, Den Haag Versie: 0.99pl14α Datum: 15 januari 2019 Digitale Techniek van Jesse op

Nadere informatie

Digitale Techniek. Jesse op den Brouw. Een inleiding in het ontwerpen van digitale systemen. Eerste druk

Digitale Techniek. Jesse op den Brouw. Een inleiding in het ontwerpen van digitale systemen. Eerste druk Digitale Techniek Een inleiding in het ontwerpen van digitale systemen Jesse op den Brouw Eerste druk 2018 Jesse op den Brouw, Den Haag Versie: 0.99pl12 Datum: 15 maart 2018 Digitale Techniek van Jesse

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 12 November 2013

Processoren. Marc Seutter & David N. Jansen 12 November 2013 Processoren Marc Seutter & David N. Jansen 12 November 2013 Leerdoel opbouw van de hardware in een computer je construeert een (eenvoudige) processor je schrijft een (kort) assembly-programma je kunt uitleggen:

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college EE4: igitale Systemen Sc. EE, e jaar, 22-23, 3e college rjan van Genderen, Stephan Wong, omputer Engineering 8-2-23 elft University of Technology hallenge the future Hoorcollege 3 anonieke vorm two-level

Nadere informatie

De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012

De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012 De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012 Tanenbaum hoofdstuk 2 von Neumann - architectuur. Tanenbaum, Structured Computer Organiza4on, FiMh Edi4on, 2006 Pearson Educa4on,

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Overzicht van resultaten Opmerkingen over inleveren Uitwerkingen

Nadere informatie

Hoe werkt een computer precies?

Hoe werkt een computer precies? Hoe werkt een computer precies? Met steun van stichting Edict Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Overview Introductie SIM-PL Simulatietool voor werking computer

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische Schakelingen (ET1205-D2) Datum: maandag 30 juni 2008 Tijd: 09.00 12.00 uur Naam: Studienummer: Cijfer Lees dit

Nadere informatie

Labo-oefeningen Automatisatie (Experimentele fase)

Labo-oefeningen Automatisatie (Experimentele fase) Praktische oefeningen Automatisatie Ivan Maesen IM 14-11-2004 2-1 Labo-oefeningen Automatisatie (Experimentele fase) Deze Praktische oefeningen kunnen gebruikt worden voor de Labosessies van het vak Automatisatie

Nadere informatie

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23 469 Appendix symbolen door prof. ir. C.H. Eversdijk & ir. A.P. Thijssen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 C-afhankelijkheid 471 A.19 Symbolen voor latches

Nadere informatie

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

Tutorial. Quartus II. State machine editor. State machine wizard

Tutorial. Quartus II. State machine editor. State machine wizard Tutorial Quartus II State machine editor & State machine wizard 29 april 2014 Pieter van der Star Tutorial state machine file in Quartus 13.0 29 april 2014 Inhoudsopgave State machine editor ------------------------------------------------------------------------------------------------------2

Nadere informatie

Hertentamen Lineaire Schakelingen (EE1300)

Hertentamen Lineaire Schakelingen (EE1300) Hertentamen Lineaire Schakelingen (EE1300) Plaats: TN-4 A207 --- TN-2 F206 --- TN-5 A211 --- TN-1 F205 Datum: 12 april 2013 Tijd: 09:00-12:00 uur Dit tentamen bestaat uit 5 opgaven. Mensen met een dyslexie-

Nadere informatie

Ontwerpmethoden. Doelstelling

Ontwerpmethoden. Doelstelling 6 Ontwerpmethoden Doelstelling Onderwerpen In dit hoofdstuk maak je kennis met een aantal ontwerpmethodieken en leer je werken met behulp van de methode met een gescheiden dataverwerking en besturing.

Nadere informatie

Technology, Innovation & Society Delft

Technology, Innovation & Society Delft Technology, Innovation & Society Delft VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING TOETSCODE GROEP : ELEKTROTECHNIEK : MICPRG-sc1 : EQ1 TOETSDATUM : 25 JANUARI 2013 TIJD : 15.00 16.30 uur AANTAL PAGINA S

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Digital Systems (Exam) (TI2720-B)

Digital Systems (Exam) (TI2720-B) Digital Systems (Exam) (TI2720-B) Monday 5 November 2012 (09:00 12:00) Directions for filling in the answer sheet: - Fill in the answer sheet using a pencil (eraser allowed) or ballpoint. (ensure high

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 3: Eenvoudige externe hardware & hardware programmeren in C Hardware programmeren in C Inmiddels ben je al aardig op gang gekomen met het programmeren van microcontrollers.

Nadere informatie

Project Name: New project

Project Name: New project 1/19/218-1:31 AM 1/31 CONTENTS Bill Of Material... 5 Controller... 5 Modules... 5 Hardware Configuration... 6 MyController - TM221CE4R... 6 Digital Inputs... 6 Digital Outputs... 7 Analog Inputs... 7 Fast

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het werkcollege van Processen & Processoren! Gang van zaken Behandelen oefenopgaven w.geraedts@student.ru.nl

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

Tentamen Elektronische Signaalbewerking (ET2405-D2) 25 augustus 2008, 14:00 17:00 uur. [Nienke, gefeliciteerd met je verjaardag!]

Tentamen Elektronische Signaalbewerking (ET2405-D2) 25 augustus 2008, 14:00 17:00 uur. [Nienke, gefeliciteerd met je verjaardag!] Tentamen Elektronische Signaalbewerking (ET2405-D2), 25 augustus 2008, 14:00 17:00 uur, pagina 1 van 10 Naam: Studienummer: Technische Universiteit Delft Faculteit Elektrotechniek, W&I Sectie Elektronica

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie