Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Maat: px
Weergave met pagina beginnen:

Download "Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek"

Transcriptie

1 Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1

2 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling in VHDL. Het voorbeeld dat gebruikt wordt, is een opdracht om een gedragsbeschrijvng te maken van een XOR. 2

3 7486 Maak een gedragsbeschrijving van de 7486: Z <= XY + XY Doet dit zonder gebruik te maken van de functie xor en de andere logische functies. Oplossingen met logische functies zijn immers: of: z <= ((not x) and y) or (x and (not y)); z <= x xor y; 3

4 Voorbeeld 7486 Datasheet Maak een gedragsbeschrijving van de

5 Voorbeeld 7486 Datasheet General Description This device contains four independent gates each of which performs the logic exclusive-or function. September 1986 Revised February 2000 Ordering Code: Order Number Package Number Package Description DM7486N N14A 14-Lead Plastic Dual-In-Line Package (PDIP), JEDEC MS-001, Wide Connection Diagram Function Table Y = A B Inputs Output A B Y L L L L H H H L H H H L H = HIGH Logic Level L = LOW Logic Level 2000 Fairchild Semiconductor Corporation DS PRODUCTION DATA information is current as of publication date. Products conform to specifications per the terms of Texas Instruments standard warranty. Production processing does not necessarily include testing of all parameters. POST OFFICE BOX DALLAS, TEXAS Copyright 1988, Texas Instruments Incorporated 1 Maak een gedragsbeschrijving van de 7486 DM7486 Quad 2-Input Exclusive-OR Gate DM7486 Quad 2-Input Exclusive-OR Gate INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS Logic Package Outlines SN5486, SN54LS86A, SN54S86 SN7486, SN74LS86A, SN74S86 QUADRUPLE 2-INPUT EXCLUSIVE-OR GATES SDLS124 DECEMBER 1972 REVISED MARCH HC/HCT86 Quad 2-input EXCLUSIVE-OR gate Product specification File under Integrated Circuits, IC06 December

6 Voorbeeld 7486 Datasheet Conclusie Vergelijking: Logische diagram: Z <= XY + XY Tabel: XY Z

7 Voorbeeld 7486 Datasheet Conclusie Vergelijking: Logische diagram: Z <= XY + XY Tabel: XY Z Als de ingangen gelijk zijn dan is de uitgang laag, anders is de uitgang hoog. 5

8 Gestructureerd schrijven VHDL 6

9 Gestructureerd schrijven VHDL entity w7486 is entity en architecture end entity w7486; architecture gedrag of w7486 is end architecture gedrag; 6

10 Gestructureerd schrijven VHDL entity w7486 is port ( ); end entity w7486; entity en architecture portlist architecture gedrag of w7486 is end architecture gedrag; 6

11 Gestructureerd schrijven VHDL entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is entity en architecture portlist in- en uitgangen end architecture gedrag; 6

12 Gestructureerd schrijven VHDL library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is entity en architecture portlist in- en uitgangen ieee-libraries end architecture gedrag; 6

13 Gestructureerd schrijven VHDL library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is p1: process is entity en architecture portlist in- en uitgangen ieee-libraries process end process p1; end architecture gedrag; 6

14 Gestructureerd schrijven VHDL library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is p1: process (x,y) is entity en architecture portlist in- en uitgangen ieee-libraries process sensitivity list end process p1; end architecture gedrag; 6

15 Gestructureerd schrijven VHDL library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is p1: process (x,y) is if x = y then z <= 0 ; else z <= 1 ; end if; end process p1; end architecture gedrag; entity en architecture portlist in- en uitgangen ieee-libraries process sensitivity list functionaliteit 6

16 MakeTestBench library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is end architecture gedrag; 7

17 MakeTestBench library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is end architecture gedrag; 7

18 MakeTestBench library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is entity testbench is end entity testbench; architecture tb_w7486 of testbench is component w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end component; for uut : w7486 use entity work.w7486(gedrag); end architecture gedrag; signal x : std_logic; signal y : std_logic; signal z : std_logic; uut : w7486 port map ( x => x, y => y, z => z ); x <= ; y <= ; end architecture tb_w7486; 7

19 MakeTestBench library ieee; use ieee.std_logic_1164.all; entity w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end entity w7486; architecture gedrag of w7486 is entity testbench is end entity testbench; architecture tb_w7486 of testbench is component w7486 is port ( x : in std_logic; y : in std_logic; z : out std_logic ); end component; for uut : w7486 use entity work.w7486(gedrag); end architecture gedrag; signal x : std_logic; signal y : std_logic; signal z : std_logic; uut : w7486 port map ( x => x, y => y, z => z ); x <= ; y <= ; Testsignalen toevoegen end architecture tb_w7486; 7

20 x <= 0, 1 after 50 ns, 0 after 100 ns, 1 after 150 ns, 0 after 200 ns; y <= 0, 1 after 100 ns, 0 after 200 ns; signal x : std_logic := 0 ; signal y : std_logic := 0 ; x <= not x after 50 ns; y <= not y after 100 ns; Niet praktisch bij complexe patronen met veel signalen. Alleen handig voor kloksignalen. process is x <= 0 ; y <= 0 ; wait for 50 ns; x <= 1 ; wait for 50 ns; x <= 0 ; y <= 1 ; wait for 50 ns; x <= 1 ; wait for 50 ns; x <= 0 ; y <= 0 ; wait; end process; Deze stijl heeft de voorkeur. Het nadeel is dat er veel tekst nodig is, maar veranderingen zijn eenvoudig aan te brengen. 8

21 vlib work vmap work work vcom w7486.vhd vcom tb_w7486.vhd vsim testbench add wave / run 250 commando s opgeven achter de prompt 9

22 10

23 informatie over synthese ************************************* Device Utilization for EP1K10TC100 *********************************** Resource Used Avail Utilization IOs % LCs % DFFs % Memory Bits % CARRYs % CASCADEs % 10

24 informatie over synthese ************************************* Device Utilization for EP1K10TC100 *********************************** Resource Used Avail Utilization IOs % LCs % DFFs % Memory Bits % CARRYs % CASCADEs % RTL-view: 10

25 informatie over synthese ************************************* Device Utilization for EP1K10TC100 *********************************** Resource Used Avail Utilization IOs % LCs % DFFs % Memory Bits % CARRYs % CASCADEs % RTL-view: Technology Map: 10

26 Beoordeling Beoordelingsformulier DI werkopdracht 1 Student: Beoordelaar: Wim Dolman Datum: rubriek onderdeel algemeen inleiding binnenwerk conclusie structuur taalgebruik correctheid leesbaarheid vormgeving literatuurlijst technische uitwerking domeinkennis probleemstelling analyse gedragsbeschrijving testvectoren simulatie synthese waardering b n o commentaar E-technology bijlagen code n is normaal: het is het niveau dat verwacht mag worden; b is beter dan het niveau dat verwacht mag worden, o is onacceptabel: dit betekent dat het gewenste niveau niet gehaald is commentaar 11

27 Beoordeling Beoordelingsformulier DI werkopdracht 1 E-technology Student: Beoordelaar: Wim Dolman Datum: waardering rubriek onderdeel commentaar b n o algemeen inleiding binnenwerk conclusie structuur taalgebruik correctheid leesbaarheid vormgeving literatuurlijst technische uitwerking domeinkennis probleemstelling analyse gedragsbeschrijving testvectoren simulatie synthese bijlagen code n is normaal: het is het niveau dat verwacht mag worden; b is beter dan het niveau dat verwacht mag worden, o is onacceptabel: dit betekent dat het gewenste niveau niet gehaald is commentaar Datum: rubriek algemeen technische uitwerking onderdeel inleiding binnenwerk conclusie structuur taalgebruik correctheid leesbaarheid vormgeving literatuurlijst domeinkennis probleemstelling analyse gedragsbeschrijving testvectoren simulatie synthese waardering b n o bijlagen code n is normaal: het is het niveau dat verwacht mag worden; b is beter dan het niveau dat verwacht m dat het gewenste niveau niet gehaald is commentaar 11

28 Verantwoording Bijna alle in deze presentatie getoonde figuren zijn samengesteld door en eigendom van Wim Dolman. Deze afbeeldingen zijn vanzelfsprekend zonder enige tegenprestatie vrij door iedereen te gebruiken. Uitzondering: - De afbeeldingen van sheet 4 zijn de eerste pagina s van de daatsheets van de 7486 van Fairchild, Philips en Texas Instruments, zie respectievelijk: wjones/371/chips/7486.pdf (dit is niet de oorspronkelijke bron) (dit is niet de oorspronkelijke bron) 12

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Digitale Systemen (EE1 410)

Digitale Systemen (EE1 410) Digitale Systemen (EE1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 26-4-2011 ET1 410 (Stephan Wong) Pagina 1 Samenvatting 1 ste college Wat is VHDL? Waarvoor

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 3 Synthese, simuatie, testbenches, rekenen in VHDL Jesse op den Brouw DIGSE1/2018-2019 Synthese Synthese is het proces van het automatisch genereren van hardware uit

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Tutorial. Quartus II. State machine editor. State machine wizard

Tutorial. Quartus II. State machine editor. State machine wizard Tutorial Quartus II State machine editor & State machine wizard 29 april 2014 Pieter van der Star Tutorial state machine file in Quartus 13.0 29 april 2014 Inhoudsopgave State machine editor ------------------------------------------------------------------------------------------------------2

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1 Today s class Digital Logic Friday, October 19, 2007 Computer Architecture I - Class 8 1 Digital circuits Two logical values Binary 0 (signal between 0 and 1 volt) Binary 1 (signal between 2 and 5 volts)

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Laboratory report. Independent testing of material surfaces. Analysis of leaching substances in treated wood samples conform guide line EU 10/2011

Laboratory report. Independent testing of material surfaces. Analysis of leaching substances in treated wood samples conform guide line EU 10/2011 Independent testing of material surfaces Laboratory report Analysis of leaching substances in treated wood samples conform guide line EU 10/2011 Customer Wasziederij De Vesting BV Trasweg 12 5712 BB Someren-Eind

Nadere informatie

Een model voor personeelsbesturing van Donk, Dirk

Een model voor personeelsbesturing van Donk, Dirk Een model voor personeelsbesturing van Donk, Dirk IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please check the document version below.

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Introductie in flowcharts

Introductie in flowcharts Introductie in flowcharts Flow Charts Een flow chart kan gebruikt worden om: Processen definieren en analyseren. Een beeld vormen van een proces voor analyse, discussie of communicatie. Het definieren,

Nadere informatie

2019 SUNEXCHANGE USER GUIDE LAST UPDATED

2019 SUNEXCHANGE USER GUIDE LAST UPDATED 2019 SUNEXCHANGE USER GUIDE LAST UPDATED 0 - -19 1 WELCOME TO SUNEX DISTRIBUTOR PORTAL This user manual will cover all the screens and functions of our site. MAIN SCREEN: Welcome message. 2 LOGIN SCREEN:

Nadere informatie

Settings for the C100BRS4 MAC Address Spoofing with cable Internet.

Settings for the C100BRS4 MAC Address Spoofing with cable Internet. Settings for the C100BRS4 MAC Address Spoofing with cable Internet. General: Please use the latest firmware for the router. The firmware is available on http://www.conceptronic.net! Use Firmware version

Nadere informatie

In deze Tips van de Helpdesk kijken we naar een nieuwe functie in STN on the Web: De Patent Family Manager!

In deze Tips van de Helpdesk kijken we naar een nieuwe functie in STN on the Web: De Patent Family Manager! Tips van de Helpdesk Juli 2011 Cobidoc helpdesk: - 0206880333 - Helpdesk@cobidoc.nl DE PATENT FAMILY MANAGER OP STN ON THE WEB In deze Tips van de Helpdesk kijken we naar een nieuwe functie in STN on the

Nadere informatie

WWW.EMINENT-ONLINE.COM

WWW.EMINENT-ONLINE.COM WWW.EMINENT-OINE.COM HNDLEIDING USERS MNUL EM1016 HNDLEIDING EM1016 USB NR SERIEEL CONVERTER INHOUDSOPGVE: PGIN 1.0 Introductie.... 2 1.1 Functies en kenmerken.... 2 1.2 Inhoud van de verpakking.... 2

Nadere informatie

Add the standing fingers to get the tens and multiply the closed fingers to get the units.

Add the standing fingers to get the tens and multiply the closed fingers to get the units. Digit work Here's a useful system of finger reckoning from the Middle Ages. To multiply $6 \times 9$, hold up one finger to represent the difference between the five fingers on that hand and the first

Nadere informatie

Dynamische Circuitspecialisatie

Dynamische Circuitspecialisatie Dynamische Circuitspecialisatie Karel Bruneel promotor: prof. Dirk Stroobandt Field Programmable Gate Array 11111111111 1111111111111 1111111111 11111111111 Digitale GSM- 111111111 1111111111 11111 chip

Nadere informatie

Remote sensor series

Remote sensor series Remote sensor series DATASHEET Sensor Partners BV James Wattlaan 15 5151 DP Drunen The Netherlands +1 ()1-7 9 info@sensorpartners.com sensorpartners.com Sensor Partners BVBA Z.1 Researchpark 1 B-1, Zellik

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 3: Eenvoudige externe hardware & hardware programmeren in C Hardware programmeren in C Inmiddels ben je al aardig op gang gekomen met het programmeren van microcontrollers.

Nadere informatie

Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368

Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368 Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368 Handleiding bij het gebruik van een microcontroller in het Accelerometerproject (Project II) Er zijn speciaal voor het Accelerometerproject

Nadere informatie

Agilent EEsof EDA. Waveform Bridge to FlexDCA and Infiniium. New Features for Solving HSD Challenges with ADS Heidi Barnes June 17/18/20, 2013

Agilent EEsof EDA. Waveform Bridge to FlexDCA and Infiniium. New Features for Solving HSD Challenges with ADS Heidi Barnes June 17/18/20, 2013 New Features for Solving HSD Challenges with ADS 2013 Waveform Bridge to FlexDCA and Infiniium Agilent EEsof EDA Heidi Barnes June 17/18/20, 2013 Copyright 2013 Agilent Technologies 1 Agenda Post-Layout

Nadere informatie

SPX Model A-360 Azimuth Antenna Rotor Model 1 & 2

SPX Model A-360 Azimuth Antenna Rotor Model 1 & 2 Gauke Boelensstraat 108 NL-9203 RS Drachten The Netherlands Tel: +31 (0) 512 354 126 GSM: +31 (0) 650 882 889 Fax: +31 (0) 847 187 776 www.rfhamdesign.com E-mail: info@rfhamdesign.com Model A-360 Azimuth

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

open standaard hypertext markup language internetprotocol transmission control protocol internet relay chat office open xml

open standaard hypertext markup language internetprotocol transmission control protocol internet relay chat office open xml DOWNLOAD OR READ : OPEN STANDAARD HYPERTEXT MARKUP LANGUAGE INTERNETPROTOCOL TRANSMISSION CONTROL PROTOCOL INTERNET RELAY CHAT OFFICE OPEN XML PDF EBOOK EPUB MOBI Page 1 Page 2 relay chat office open xml

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Aansturing van een stappenmotor

Aansturing van een stappenmotor Cursus VHDL deel 2: Aansturing van een stappenmotor Jan Genoe In dit uitgewerkt voorbeeld schetsen we de werkwijze die moet gevolgd worden om uitgaande van een probleemstelling tot een concrete en werkende

Nadere informatie

Handleiding Installatie ADS

Handleiding Installatie ADS Handleiding Installatie ADS Versie: 1.0 Versiedatum: 19-03-2014 Inleiding Deze handleiding helpt u met de installatie van Advantage Database Server. Zorg ervoor dat u bij de aanvang van de installatie

Nadere informatie

logische schakelingen & logica antwoorden

logische schakelingen & logica antwoorden 2017 logische schakelingen & logica antwoorden F. Vonk versie 4 2-8-2017 inhoudsopgave waarheidstabellen... - 3 - logische schakelingen... - 4 - meer over logische schakelingen... - 8 - logica... - 10

Nadere informatie

XILINX ISE getstarted

XILINX ISE getstarted XILINX ISE getstarted Een stap voor stap oefenhandleiding om een VHDL-ontwerp te simuleren en synthetiseren in XILINX ISE 10.1 Alle screenshots zijn uit het vrij beschikbare XILINX ISE softwarepakket.

Nadere informatie

Tutorial. Quartus II. State machine editor. State machine wizard

Tutorial. Quartus II. State machine editor. State machine wizard Tutorial Quartus II State machine editor & State machine wizard 29 april 2014 Pieter van der Star Inhoudsopgave State machine editor ------------------------------------------------------------------------------------------------------2

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

University of Groningen

University of Groningen University of Groningen De ontwikkeling van prikkelverwerking bij mensen met een Autisme Spectrum Stoornis en de invloed van hulp en begeleiding gedurende het leven. Fortuin, Marret; Landsman-Dijkstra,

Nadere informatie

Inhoudsopgave. Optimalisatie van de mmips. Forwarding optie 1. Design flow. implementation

Inhoudsopgave. Optimalisatie van de mmips. Forwarding optie 1. Design flow. implementation 2 Inhoudsopgave Optimalisatie van de mmips pc Sander Stuijk Veel gestelde vragen Hoe moet ik forwarding implementeren? Hoe moet ik clipping implementeren? Waarom is mijn simulatie zo traag? Hoe kan ik

Nadere informatie

Multiple sclerose Zwanikken, Cornelis Petrus

Multiple sclerose Zwanikken, Cornelis Petrus Multiple sclerose Zwanikken, Cornelis Petrus IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please check the document version below. Document

Nadere informatie

Van 'gastarbeider' tot 'Nederlander' Prins, Karin Simone

Van 'gastarbeider' tot 'Nederlander' Prins, Karin Simone Van 'gastarbeider' tot 'Nederlander' Prins, Karin Simone IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please check the document version

Nadere informatie

Digitaal System Ontwerpen Studiehandleiding

Digitaal System Ontwerpen Studiehandleiding Digitaal System Ontwerpen Studiehandleiding Embedded Systems Engineering Klassen: ES2, ES2D H. Riezebos 5 september 2012 Inhoud 1 Inleiding... 2 2 Beschrijving en beoordeling... 3 3 Tentamenstof... 6 4

Nadere informatie

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave):

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): D is de normale data ingang C is de normale fase 1 klok I is de data ingang van het shift-regiester A is de klok

Nadere informatie

De CB channel controller TMS1022NL/NLL en TMS1023NL/NLL. ( Dit is een maskrom-programmed version van de Texas Instruments TMS1000 family)

De CB channel controller TMS1022NL/NLL en TMS1023NL/NLL. ( Dit is een maskrom-programmed version van de Texas Instruments TMS1000 family) De CB channel controller TMS1022NL/NLL en TMS1023NL/NLL ( Dit is een maskrom-programmed version van de Texas Instruments TMS1000 family) Ik begin even met een korte inleiding over de TMS1000. ( Wil je

Nadere informatie

NSPYRE LEGO MINDSTORMS UITDAGING (JAVA) INLEIDING. DOEL: SIMULATOR:

NSPYRE LEGO MINDSTORMS UITDAGING (JAVA) INLEIDING. DOEL: SIMULATOR: NSPYRE LEGO MINDSTORMS UITDAGING (JAVA) INLEIDING. Door mee te doen aan deze uitdaging kan je Nspyre laten zien wat je kan. Schrijf jij de beste oplossing dan is deze lego mindstorms nxt 2.0 set voor jou.

Nadere informatie

TC_DCM Inleiding Input

TC_DCM Inleiding Input TC_DCM 10-03-2008 Inleiding De TC_DCM (Tele Controls Data Collection Module) is een module om dataloggers van het type Optimodem en Atlas uit te lezen. De gebruiker dient zelf een applicatie te maken die

Nadere informatie

TKI Project: Multi-stage Stochastic and Robust Optimization of Flood Mitigation Measures under Forecast Uncertainty. Workshop Stakeholder

TKI Project: Multi-stage Stochastic and Robust Optimization of Flood Mitigation Measures under Forecast Uncertainty. Workshop Stakeholder TKI Project: Multi-stage Stochastic and Robust Optimization of Flood Mitigation Measures under Forecast Uncertainty Workshop Stakeholder Aanleiding Inventarisatie van de behoeften voor de operationele

Nadere informatie

Oefeningen Digitale Elektronica (I), deel 4

Oefeningen Digitale Elektronica (I), deel 4 Oefeningen Digitale Elektronica (I), deel 4 Oefeningen op min en maxtermen, decoders, demultiplexers en multiplexers (hoofdstuk 3, 3.6 3.7) Wat moet ik kunnen na deze oefeningen? Ik kan de minterm en maxtermrealisatie

Nadere informatie

Inhoudsopgave Gevorderden vorderen het gevorderde

Inhoudsopgave Gevorderden vorderen het gevorderde Inhoudsopgave 1 Gevorderden vorderen het gevorderde 5 1.1 Zo, nu eerst......................................... 5 1.2 Bronnen......................................... 5 1.2.1 Veel haren....................................

Nadere informatie

GOVERNMENT NOTICE. STAATSKOERANT, 18 AUGUSTUS 2017 No NATIONAL TREASURY. National Treasury/ Nasionale Tesourie NO AUGUST

GOVERNMENT NOTICE. STAATSKOERANT, 18 AUGUSTUS 2017 No NATIONAL TREASURY. National Treasury/ Nasionale Tesourie NO AUGUST National Treasury/ Nasionale Tesourie 838 Local Government: Municipal Finance Management Act (56/2003): Draft Amendments to Municipal Regulations on Minimum Competency Levels, 2017 41047 GOVERNMENT NOTICE

Nadere informatie

Four-card problem. Input

Four-card problem. Input Four-card problem The four-card problem (also known as the Wason selection task) is a logic puzzle devised by Peter Cathcart Wason in 1966. It is one of the most famous tasks in the study of deductive

Nadere informatie

[BP-ebMS-H-000] Welke versie van Hermes moet er gebruikt worden?

[BP-ebMS-H-000] Welke versie van Hermes moet er gebruikt worden? [BP-ebMS-H-000] Welke versie van Hermes moet er gebruikt worden? Gebruik altijd de laatste versie omdat er serieuse bug-fixes in kunnen zitten. Check altijd de release notes en openstaande bugs. Er is

Nadere informatie

PIR DC-SWITCH. DC Passive infra-red Detector. Model No. PDS-10 GEBRUIKSAANWIJZING/INSTRUCTION MANUAL

PIR DC-SWITCH. DC Passive infra-red Detector. Model No. PDS-10 GEBRUIKSAANWIJZING/INSTRUCTION MANUAL PIR DC-SWITCH DC Passive infra-red Detector Model No. PDS-10 GEBRUIKSAANWIJZING/INSTRUCTION MANUAL Please read this manual before operating your DETECTOR PIR DC-Switch (PDS-10) De PDS-10 is een beweging

Nadere informatie

Slanke USB 3.0 naar HDMI externe videokaartadapter voor meerdere schermen 1920x1200 / 1080p

Slanke USB 3.0 naar HDMI externe videokaartadapter voor meerdere schermen 1920x1200 / 1080p Slanke USB 3.0 naar HDMI externe videokaartadapter voor meerdere schermen 1920x1200 / 1080p Product ID: USB32HDES De USB32HDES slanke USB 3.0-naar-HDMI-adapter verandert een USB 3.0 poort in een HDMI-uitgang

Nadere informatie

University of Groningen. Stormy clouds in seventh heaven Meijer, Judith Linda

University of Groningen. Stormy clouds in seventh heaven Meijer, Judith Linda University of Groningen Stormy clouds in seventh heaven Meijer, Judith Linda IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please check

Nadere informatie

ANOUK ROUMANS TO CODE OR NOT TO CODE.

ANOUK ROUMANS TO CODE OR NOT TO CODE. ANOUK ROUMANS ANOUK ROUMANS TO CODE OR NOT TO CODE. 00 HOOFDVRAAG 00 HOOFDVRAAG Is het relevant voor een UX-designer om development kennis te hebben op gebied van apps? 00 INHOUDSOPGAVE 00 INHOUDSOPGAVE

Nadere informatie

EazyLAN Gebruikershandleiding

EazyLAN Gebruikershandleiding EazyLAN Gebruikershandleiding Nieaf-Smitt is a brand name of Mors Smitt Mors Smitt B.V. Vrieslantlaan 6 P.O. box 7023 The Netherlands 3526 AA Utrecht 3502 KA Utrecht T +31 (0)30 288 13 11 F +31 (0)30 289

Nadere informatie

My Benefits My Choice applicatie. Registratie & inlogprocedure

My Benefits My Choice applicatie. Registratie & inlogprocedure My Benefits My Choice applicatie Registratie & inlogprocedure Welkom bij de My Benefits My Choice applicatie Gezien de applicatie gebruik maakt van uw persoonlijke gegevens en salarisinformatie wordt de

Nadere informatie

Software Design Document

Software Design Document Software Design Document Mathieu Reymond, Arno Moonens December 2014 Inhoudsopgave 1 Versiegeschiedenis 2 2 Definities 3 3 Introductie 4 3.1 Doel en Scope............................. 4 4 Logica 5 4.1

Nadere informatie

Digital Systems (Exam) (TI2720-B)

Digital Systems (Exam) (TI2720-B) Digital Systems (Exam) (TI2720-B) Monday 5 November 2012 (09:00 12:00) Directions for filling in the answer sheet: - Fill in the answer sheet using a pencil (eraser allowed) or ballpoint. (ensure high

Nadere informatie

ID-er/sequencer. Beschrijving. Pag 1/6

ID-er/sequencer. Beschrijving. Pag 1/6 Beschrijving Inleiding Om schade en mogelijk vroegtijdig overlijden van een dure antenneversterker en/of de antennerelais te voorkomen dient het in- en uitschakelen van de zend/ontvangstapparatuur in een

Nadere informatie

Vrije Universiteit Faculteit der Economische Wetenschappen en Bedrijfskunde. Statistical Tables

Vrije Universiteit Faculteit der Economische Wetenschappen en Bedrijfskunde. Statistical Tables Kwantitatieve Methoden - Statistiek Doane (edition 3) Vrije Universiteit Faculteit der Economische Wetenschappen en Bedrijfskunde Statistical Tables (2011-2012) Please do not write on the sheets and return

Nadere informatie

Ontwerp van digitale systemen. in VHDL

Ontwerp van digitale systemen. in VHDL Ontwerp van digitale systemen in VHDL Luc Friant Inhoud - 1 - Inhoud - 2 - Inhoud Voorwoord 1. Hoofdstuk 1 Algemene structuur in VHDL 2. Hoofdstuk 2 De beschrijving van sequentiële logica in VHDL 3. Hoofdstuk

Nadere informatie

Find Neighbor Polygons in a Layer

Find Neighbor Polygons in a Layer Find Neighbor Polygons in a Layer QGIS Tutorials and Tips Author Ujaval Gandhi http://google.com/+ujavalgandhi Translations by Dick Groskamp This work is licensed under a Creative Commons Attribution 4.0

Nadere informatie

TUTORIAL VHDL MET QUARTUS 11.1 MODELSIM-ALTERA 10.0

TUTORIAL VHDL MET QUARTUS 11.1 MODELSIM-ALTERA 10.0 TUTORIAL VHDL MET QUARTUS 11.1 EN MODELSIM-ALTERA 10.0 J.E.J. op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 5 februari 2018 J.E.J.opdenBrouw@hhs.nl VERSIEHISTORIE Rev. Datum Aut. Beschrijving

Nadere informatie

Building the next economy met Blockchain en real estate. Lelystad Airport, 2 november 2017 BT Event

Building the next economy met Blockchain en real estate. Lelystad Airport, 2 november 2017 BT Event Building the next economy met Blockchain en real estate Lelystad Airport, 2 november 2017 Blockchain en real estate Programma Wat is blockchain en waarvoor wordt het gebruikt? BlockchaininRealEstate Blockchain

Nadere informatie

Preschool Kindergarten

Preschool Kindergarten Preschool Kindergarten Objectives Students will recognize the values of numerals 1 to 10. Students will use objects to solve addition problems with sums from 1 to 10. Materials Needed Large number cards

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

Plotten. technisch tekenwerk AUTOCAD 2000

Plotten. technisch tekenwerk AUTOCAD 2000 Inleiding Voor het plotten van uw bent u bij Lifoka aan het juiste adres. Snel, betrouwbaar en dat in grote of kleine oplagen. Niet alleen het plotten, maar ook vergaren en verzenden kan Lifoka voor u

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

Data Handling Ron van Lammeren - Wageningen UR

Data Handling Ron van Lammeren - Wageningen UR Data Handling 1 2010-2011 Ron van Lammeren - Wageningen UR Can I answer my scientific questions? Geo-data cycle Data handling / introduction classes of data handling data action models (ISAC) Queries (data

Nadere informatie

EM2 Microcontroller Project. LED cube

EM2 Microcontroller Project. LED cube EM2 Microcontroller Project LED cube Door: Dennis Koster Klas: Tc202 Studentnummer: 536496 Docent: Jan Derriks & Ruud Slokker Versie 1.0 (12-1-2009) Inhoudsopgave Inleiding 3 De onderdelen 4 t/ m 6 Het

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college EE4: igitale Systemen Sc. EE, e jaar, 22-23, 3e college rjan van Genderen, Stephan Wong, omputer Engineering 8-2-23 elft University of Technology hallenge the future Hoorcollege 3 anonieke vorm two-level

Nadere informatie

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Tentamen Engineering 2011/2012: Opleiding: ESE, HN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Vakcode: DSO deel 2 Lokaal: Docent: RZ antal tentamenbladen:

Nadere informatie

Voorbeeld. Preview ISO INTERNATIONAL STANDARD

Voorbeeld. Preview ISO INTERNATIONAL STANDARD INTERNATIONAL STANDARD ISO 10202-8 First edition 1998-07-15 Dit document mag slechts op een stand-alone PC worden geinstalleerd. Gebruik op een netwerk is alleen. toestaan als een aanvullende licentieovereenkomst

Nadere informatie

Software Engineering Groep 4

Software Engineering Groep 4 Software Engineering Groep 4 Software Design Description Jeroen Nyckees (Design Manager) Jan-Pieter Hubrecht (Project Manager) 3 e Bachelor Computerwetenschappen se4-1112@wilma.vub.ac.be 11 december 2011

Nadere informatie

CBSOData Documentation

CBSOData Documentation CBSOData Documentation Release 1.0 Jonathan de Bruin Dec 02, 2018 Contents 1 Statistics Netherlands opendata API client for Python 3 1.1 Installation................................................ 3

Nadere informatie

BathySurvey. A Trimble Access hydrographic survey module

BathySurvey. A Trimble Access hydrographic survey module BathySurvey A Trimble Access hydrographic survey module Contents 1. Introduction... 3 2. Installation... 4 3. Main Screen... 5 4. Device... 6 5. Jobs... 7 6. Settings Odom Echotrac... 8 7. Settings Ohmex

Nadere informatie

UvA-DARE (Digital Academic Repository) Health targets: navigating in health policy. van Herten, L.M. Link to publication

UvA-DARE (Digital Academic Repository) Health targets: navigating in health policy. van Herten, L.M. Link to publication UvA-DARE (Digital Academic Repository) Health targets: navigating in health policy van Herten, L.M. Link to publication Citation for published version (APA): van Herten, L. M. (2001). Health targets: navigating

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

JOB OPENING OPS ENGINEER

JOB OPENING OPS ENGINEER 2016 DatacenterNext All rights reserved Our Mission Wij zijn een On-Demand Technology Office die bedrijven helpt technologie te organiseren, zekeren en innoveren. Dit stelt onze klanten in staat, vertrouwende

Nadere informatie

AE1103 Statics. 25 January h h. Answer sheets. Last name and initials:

AE1103 Statics. 25 January h h. Answer sheets. Last name and initials: Space above not to be filled in by the student AE1103 Statics 09.00h - 12.00h Answer sheets Last name and initials: Student no.: Only hand in the answer sheets! Other sheets will not be accepted Write

Nadere informatie

clk_32768 mins_up secs_up countdown clear time_zero

clk_32768 mins_up secs_up countdown clear time_zero Opdracht week 3 en 4 kookwekker Inleiding Het koken van een eitje lukt de meeste mensen nog. Toch zijn er wel mensen die dat niet zonder een kookwekker kunnen, met als gevolg een hard gekookt ei (of juiste

Nadere informatie

Shipment Centre EU Quick Print Client handleiding [NL]

Shipment Centre EU Quick Print Client handleiding [NL] Shipment Centre EU Quick Print Client handleiding [NL] Please scroll down for English. Met de Quick Print Client kunt u printers in Shipment Centre EU configureren. De Quick Print Client kan alleen op

Nadere informatie

Project Name: New project

Project Name: New project 1/19/218-1:31 AM 1/31 CONTENTS Bill Of Material... 5 Controller... 5 Modules... 5 Hardware Configuration... 6 MyController - TM221CE4R... 6 Digital Inputs... 6 Digital Outputs... 7 Analog Inputs... 7 Fast

Nadere informatie