Lab6: Implementatie video timing generator

Maat: px
Weergave met pagina beginnen:

Download "Lab6: Implementatie video timing generator"

Transcriptie

1 Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en geverifieerd met een VHDL simulator. In deze module zullen we dit systeem nog wat uitbreiden en na het laden in een fpga het resultaat kunnen bewonderen op het scherm. Labo-oefening Lab6: Implementatie video timing generator Cluster: Cluster2 Module: Module2a Figuur 1 Doelstellingen Na dit labo moet de student de complete toolflow begrijpen en beheersen om een hardware systeem zoals de video timing generator in vhdl te beschrijven, te verifiëren door simulatie, te synthetiseren en in te laden in een fpga. Voorkennis De modules 1a, 1b en 1c moeten eerst doorlopen worden. Classificatie Doelgroep: Student Versie: 1.0 Datum: 1/4/05 Dit materiaal werd ontwikkeld of aangepast met steun van het Europese Sociaal fonds. ESF: Bijdragen tot de ontwikkeling van de werkgelegenheid door het bevorderen van inzetbaarheid, ondernemerschap, aanpasbaarheid en gelijke kansen, en door het investeren in menselijke hulpbronnen. Moeilijkheidsgraad van 1 tot 5 : 1 Aantal uren (zonder begeleiding) : 1.5 a 2 Gegeven De volgende folders/files vind je onder module2a: Hardware : alle files nodig om System1 (zie opdracht) op te bouwen Simulation : alle files nodig om System1 te simuleren Implementation : constraints file om System1 te implementeren Deze drie folders brengen automatisch wat structuur in je ontwerp zodat je later gemakkelijk bepaalde stukken kan terugvinden en hergebruiken in andere ontwerpen. IMEC Lab6Mod2aV1.0.doc 2/15

2 Opdracht 1. Generatie van de pixel klok en de reset voor de Video timing generator. Op het bord wordt met een xtal een 100MHz klok aangemaakt en verbonden met de fpga. Deze klok wordt niet rechtstreeks gebruikt als pixel klok aangezien deze igv. vga aansturing 25MHz moet zijn. Daarom wordt op de fpga deze 100MHz klok gedeeld door 4. De gemakkelijkste en beste manier om dit te bereiken op een Xilinx VirtexII-pro is een Digital Clock Manager (DCM) te gebruiken. De FPGA op het XUP bord bevat acht van deze units. Met een DCM kan je klokken delen, vermenigvuldigen en in fase verschuiven. Intern beschikken deze units over een DLL (Delay Locked Loop) wat het mogelijk maakt om de skew op de output klok volledig te elimineren tov de input klok. Deze units hebben echter een opstarttijd nodig tijdens dewelke de output klok(ken) nog niet de correcte frequentie en/of fase hebben. Gedurende deze opstarttijd blijft de locked output laag. Meer info vind je in de Virtex-II pro Platform FPGA User Guide. Om het voor de student simpel te houden werd een ClkAndResetGen entity aangemaakt die gebruik maakt van een DCM om de pixel klok en de asynchrone reset te sturen. ENTITY ClkAndResetGen IS generic( Div_factor : real := 4.0 ); port( SYSTEM_CLOCK : in std_logic; MHz clock Clk : out std_logic; -- 50/25 MHz clock Reset_n : out std_logic -- active low reset ); end ClkAndResetGen; De vereenvoudigde architectuur ziet er als volgt uit : Figuur 3 2. Samenstellen van het complete te implementeren systeem System1 In System1.vhd wordt het volledig systeem samengesteld. De complete architectuur staat in de inleiding van deze module. Zoals je kan zien in het VHDL model van de digitaal analoog converter introduceert deze DAC een extra klok vertraging op de RGB signalen. Vraag 2 : Wat is de functie van flipflop op de horizontale en verticale synchronisatie pulsen in figuur1? Vraag 3 : In Systeem1 houden we de RGB waarden vast, maar indien we de RGB waarden lieten veranderen dan zouden we die ook eerst door een flipflop op de dalende flank van de pixel klok moeten sturen, net zoals het VGA_OUT_BLANK_Z signaal (=video_on in de VideoTimingGen). Waarom zijn deze flipflops nodig? 3. Simulatie van System1 Simuleer System1 met Modelsim in de directory module2a/simulation. Alle nodige files vind je in de directory Hardware en Simulation. Deze opsplitsing zorgr ervoor dat de testbenches en de te implementeren hardware netjes gescheiden blijven. In dit lab zullen we het Constants_pack compileren in de library Const_lib en het General_TB_pack in de library General_TB_lib. Al de rest compileren we in library work. Figuur 2 Vraag 1 : waarom is die extra flipflop nodig? Figuur 4 cd module2a/simulation vlib work vlib Const_lib vlib General_TB_lib vcom -work Const_lib../Hardware/Constants_pack.vhd vcom../hardware/clkandresetgen.vhd vcom../hardware/videotiminggen.vhd vcom../hardware/system1.vhd vcom -work General_TB_lib General_TB_pack.vhd Lab6Mod2aV1.0.doc 3/15 Lab6Mod2aV1.0.doc 4/15

3 vcom tripledac.vhd vcom TB_System1.vhd De student die van een uitdaging houdt kan de VHDL files en de library structuur aanpassen zodanig dat library work niet meer gebruikt wordt. Figuur 5 Simuleer nu TB_System1. Zorg ervoor dat de IO van System1 in de waveform viewer zichtbaar worden zodat je timing van de sync signalen kan checken. Alleen op tijstip 0 ns mag je volgende warnings krijgen. # ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE # ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE # ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE # ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE # ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE 2. Kies de juiste FPGA 4. Implementatie van System1 Deze stap mag je alleen beginnen als de simulatie perfect verlopen is, teneinde een beschadiging van het scherm door een verkeerde aansturing te vermijden. De System1.ucf file bevat alle constraints waarmee de synthese en de place en route tools moeten rekening mee houden. Deze zijn : De periode van de SYSTEM_CLOCK. Op het bord staat een Xtal van 100 MHz, dus : TIMESPEC "TS_SYSTEM_CLOCK" = PERIOD "SYSTEM_CLOCK" ns HIGH 50 %; De pin posities van de FPGA : NET "SYSTEM_CLOCK" LOC = "AJ15"; NET "VGA_VSYNCH" LOC = "D11"; De configuratie van de IOs NET "VGA_VSYNCH" IOSTANDARD = LVTTL; NET "VGA_VSYNCH" DRIVE = 12; NET "VGA_VSYNCH" SLEW = SLOW;. Let speciaal op het volgende : 1. VHDL bussen worden compleet geexpandeerd en aangeduid met <> ipv. ()! 2. De naam van de constraints file moet als extensie.ucf hebben en niet.ucf! Start de project navigator van ISE 1. Open een nieuw project in de directory module2a/implementation met als project naam:system1. Lab6Mod2aV1.0.doc 5/15 Lab6Mod2aV1.0.doc 6/15

4 3. Voeg de bestaande VHDL Hardware files toe, dus selecteer Next en niet New Source Voeg de VHDL files als VHDL Design Files toe, zonder ze te kopiëren naar je project. Lab6Mod2aV1.0.doc 7/15 Lab6Mod2aV1.0.doc 8/15

5 4. Creëer de Const_lib VHDL library : Project new source Voeg het constants_pack toe aan de Const_lib library.(via rechtermuisknop) 5. Voeg de constraints file toe aan System1 Project add source In de library view krijg je nu: Lab6Mod2aV1.0.doc 9/15 Lab6Mod2aV1.0.doc 10/15

6 8. Genereer de bit (download) file 9. Connecteer het XUP bord.(power switch = OFF!) Sluit stroom, vga en usb connector aan Config source dip switch : selecteer JTAG Zet spanning op 10. Configureer de boundery chain 6. Start de synthese van system1 Configure Device (impact) Cancel automatic selection Output > Cable setup > Platform cable USB File>Initialize chain Vragen : 1. Verklaar alle warnings. 2. Identifieer alle inferred counters, comparators en flip-flops 3. Hoeveel slices en global clocks worden er geinstantieerd? Bekijk het schema : view RTL schematic 7. Implementeer system1 (Place en route) View placed design View routed design Lab6Mod2aV1.0.doc 11/15 Lab6Mod2aV1.0.doc 12/15

7 De FPGA staat pas als derde element in de boundary scan. Voor de eerste twee selecteer je bypass. Voor de FPGA kies je system1.bit De volgende warning is van geen belang 11. Programmeer de FPGA Selecteer de FPGA met de rechtermuis en selecteer program : Na een succesvol laden krijg je : Lab6Mod2aV1.0.doc 13/15 Lab6Mod2aV1.0.doc 14/15

8 Je aangestuurde scherm zou nu volledig rood moeten zijn! Lab6Mod2aV1.0.doc 15/15

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

XILINX ISE getstarted

XILINX ISE getstarted XILINX ISE getstarted Een stap voor stap oefenhandleiding om een VHDL-ontwerp te simuleren en synthetiseren in XILINX ISE 10.1 Alle screenshots zijn uit het vrij beschikbare XILINX ISE softwarepakket.

Nadere informatie

A Quick Start Guide: AVR programmeren

A Quick Start Guide: AVR programmeren A Quick Start Guide: AVR programmeren In deze guide wordt uitgelegd hoe men kan beginnnen met het programeren van een ATMEL AVR microcontroller. Er zal een testprogramma uit de software library AVRlib

Nadere informatie

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten 2018/2019 Elektrotechniek Semester 1.2 Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Wasif

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Labo Digitale Systemen

Labo Digitale Systemen 6 labozittingen: 1u30 Permanente evaluatie, laatste labozitting evaluatie (+ files afgeven) Cursus: http://telescript.denayer.wenk.be/~kvb/labo_digitale_systemen Wat? Implementatie van een parametrische

Nadere informatie

EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1

EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1 EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1 1. Doel Kennismaking met de EDK van Xilinx: - begrijpen van de ontwerpomgeving en design flow - aanmaken van een Xilinx Platform Studio project

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Digitale Systemen (EE1 410)

Digitale Systemen (EE1 410) Digitale Systemen (EE1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 26-4-2011 ET1 410 (Stephan Wong) Pagina 1 Samenvatting 1 ste college Wat is VHDL? Waarvoor

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Handleiding ISaGRAF. Wil men het programma bewaren, dan is het verstandig een back-up te maken: C9 Back-up / Restore

Handleiding ISaGRAF. Wil men het programma bewaren, dan is het verstandig een back-up te maken: C9 Back-up / Restore Handleiding ISaGRAF C Handleiding ISaGRAF Deze handleiding beoogt een korte samenvatting te geven van handelingen die verricht moeten worden om met behulp van ISaGRAF een PLC-programma te schrijven en

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 3 Synthese, simuatie, testbenches, rekenen in VHDL Jesse op den Brouw DIGSE1/2018-2019 Synthese Synthese is het proces van het automatisch genereren van hardware uit

Nadere informatie

Klasse B output buffer voor een Flat Panel Display Kolom aansturing

Klasse B output buffer voor een Flat Panel Display Kolom aansturing Gevalstudie 1 Klasse B output buffer voor een Flat Panel Display Kolom aansturing IEEE Journal of Solid-state circuits, Vol 34, No 1, Januari 1999, pp 116-119 Jan Genoe KHLim Flat Panel display kolom driver

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Altera NIOS 3.0 Tutorial

Altera NIOS 3.0 Tutorial DE NAYER Instituut J. De Nayerlaan 5 B-2860 Sint-Katelijne-Waver Tel. (015) 31 69 44 Fax. (015) 31 74 53 e-mail:ppe@denayer.wenk.be ddr@denayer.wenk.be tti@denayer.wenk.be website: emsys.denayer.wenk.be

Nadere informatie

Versie: A Datum: Pag: 1 van 7

Versie: A Datum: Pag: 1 van 7 Versie: A Datum: 17-07-2018 Pag: 1 van 7 Algemeen Doel van deze instructie is om snel aan de slag te kunnen met een servosysteem dat is opgebouwd uit een TRIO motion controller en één of meer IAI CON stappen-

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Het koppelen van Weidmüller u-remote aan een S7-1200 plc.

Het koppelen van Weidmüller u-remote aan een S7-1200 plc. Het koppelen van Weidmüller u-remote aan een S7-1200 plc. Thema: u-remote Bladzijde 1 Inhoudsopgave Een IP-adres toekennen met de Primary Setup Tool:... 3 Het importeren van het GSDML bestand in de TIA-portal:...

Nadere informatie

Het aansluiten van uw Victron op een computer; met VE Bus Quick Configure

Het aansluiten van uw Victron op een computer; met VE Bus Quick Configure Het aansluiten van uw Victron op een computer; met VE Bus Quick Configure Voor het aansluiten van uw Victron op een computer is het volgende belangrijk: - Alleen identieke modellen met VE Bus software

Nadere informatie

(energie) meten via Qbus

(energie) meten via Qbus (energie) meten via Qbus Voor het bijhouden van groene stroom-certificaten, het optimaliseren van verbruiken of gewoon om te weten wat waar wanneer in uw gebouw gebeurt. Op de SD-kaart van de Qbus controller

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Design Data Management voor FPGA ontwikkeling

Design Data Management voor FPGA ontwikkeling Design Data Management voor FPGA ontwikkeling Al snel heb je bij electronica ontwikkeling met Design Data Management te maken, zo ook bij FGPA ontwikkeling. Er wordt immers code gegenereerd die beheerd

Nadere informatie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie www.arduino.cc Arduino en DDS DDS chips DDS = Direct Digital (frequency) Synthesis Output = sinusvormig signaal Maximum frequentie = ½ klokfrequentie Frequentie bepaald door tuning word Grootste fabrikant:

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (4)

De Arduino-microcontroller in de motorvoertuigentechniek (4) De Arduino-microcontroller in de motorvoertuigentechniek () E. Gernaat (ISBN 978-90-7930--6) De Atmel ATmega38/P microcontroller. Uitvoering De ATmega38 is een microprocessor van de Amerikaanse firma ATMEL

Nadere informatie

Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368

Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368 Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368 Handleiding bij het gebruik van een microcontroller in het Accelerometerproject (Project II) Er zijn speciaal voor het Accelerometerproject

Nadere informatie

RTC Opleidingen Beckhoff TwinCAT. Voorbereiding

RTC Opleidingen Beckhoff TwinCAT. Voorbereiding Voorbereiding Beckhoff Automation bvba Belgium Rudi Grouset v2.3-05/02/2014 Voorbereiding voor het volgen van de Beckhoff training Om de opleidingsdagen bij Beckhoff succesvol te laten doorgaan vragen

Nadere informatie

Selecteer het NRF52-Dk platform in de IDE rechtsboven in het browser scherm.

Selecteer het NRF52-Dk platform in de IDE rechtsboven in het browser scherm. Ontwikkel je eigen Bluetooth LE device Welkom bij de workshop, tijdens deze workshop ga je aan de gang met het ontwikkelen van een Bluetooth LE device met behulp van MBED. Tijdens de workshop heb je het

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 3: Eenvoudige externe hardware & hardware programmeren in C Hardware programmeren in C Inmiddels ben je al aardig op gang gekomen met het programmeren van microcontrollers.

Nadere informatie

Beckhoff BC9050 met Twincat

Beckhoff BC9050 met Twincat Beckhoff BC9050 met Twincat F. Rubben, Ing. 2011 1 Beckhoff 2 1 Hardware: BC9050 voeding 24Vdc Voor de buscontroller en Voor de K-bus 3 Hardware: netwerk? De bouw van het netwerk zal bepalen hoe het IP-adres

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

SI-Profibus. Unidrive M700-M702 en Siemens S7-300 PLC (STEP 7)

SI-Profibus. Unidrive M700-M702 en Siemens S7-300 PLC (STEP 7) Omschrijving: In dit document wordt stap voor stap uitgelegd hoe met STEP 7 de communicatie opgezet kan worden tussen een Siemens S7-300 PLC en een Unidrive M700 met module. Dit document behandelt de volgende

Nadere informatie

Configuratiehandleiding AHD 3.0 AHDVR( )A

Configuratiehandleiding AHD 3.0 AHDVR( )A Configuratiehandleiding AHD 3.0 AHDVR(043-083-163)A Inleiding Comelit biedt graag hulp en service bij het installeren van een systeem. Door de volgende stappen in de configuratiehandleiding te volgen,

Nadere informatie

Uitvoeren Single Band Conducted Immunity (CI) testen conform EN61000-4-6

Uitvoeren Single Band Conducted Immunity (CI) testen conform EN61000-4-6 Measurement Rent-a-Lab equipment Uitvoeren Single Band Conducted Immunity (CI) testen conform EN61000-4-6 pagina 1 van 8 Measurement Rent-a-Lab equipment Veiligheidsinstructies LET OP: Het onjuist uitvoeren

Nadere informatie

Handleiding software USB PIC DEV. BOARD

Handleiding software USB PIC DEV. BOARD Handleiding software USB PIC DEV. BOARD V2.0 M.F. van Lieshout & J.G.W. Carpaij 21-03-2006 Handleiding software USB PIC DEV. BOARD Algemene informatie Hier volgt eerst wat algemene informatie over het

Nadere informatie

Installatie SQL: Server 2008R2

Installatie SQL: Server 2008R2 Installatie SQL: Server 2008R2 Download de SQL Server 2008.exe van onze site: www.2work.nl Ga naar het tabblad: Downloads en meld aan met: klant2work en als wachtwoord: xs4customer Let op! Indien u een

Nadere informatie

Installatiehandleiding. ixperion Word Import. voor Windows 2008 R2 64bit. Smartsite ixperion WordImport Implementatie. Copyright 2010-2011

Installatiehandleiding. ixperion Word Import. voor Windows 2008 R2 64bit. Smartsite ixperion WordImport Implementatie. Copyright 2010-2011 Installatiehandleiding ixperion Word Import voor Windows 2008 R2 64bit Copyright 2010-2011 Versie 1.0.0 Seneca 2011 1 Auteur: ing. Silvio Bosch Versiebeheer: Versie Status Datum Omschrijving en wijzigingen

Nadere informatie

1. Kopieer de bestanden die in de html directory staan, naar de html directory van HomeSeer.

1. Kopieer de bestanden die in de html directory staan, naar de html directory van HomeSeer. Homeseer Integratie Voor de integratie van het JeeLabs platform in Homeseer maken we gebruik van een Open Source pakket genaamd JeeLink for HomeSeer (http://sourceforge.net/projects/jeeseer/) van Tijl

Nadere informatie

In dit artikel zal ik u uitleggen hoe u rechtstreeks vanuit Troublefree Retail kan afdrukken

In dit artikel zal ik u uitleggen hoe u rechtstreeks vanuit Troublefree Retail kan afdrukken Auteur: Evert-Jan Steenvoorden Datum: 24-06-2015 Printers configureren In dit artikel zal ik u uitleggen hoe u rechtstreeks vanuit Troublefree Retail kan afdrukken Wat heb je nodig Om rechtstreeks af te

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files 1 Introductie In deze oefening zal je je LCD display leren aansturen. Je controleert deze display door er instructies naar te

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Configuratie programma tbv. MJK Instrumentie

Configuratie programma tbv. MJK Instrumentie Configuratie programma tbv. MJK Instrumentie Quick Guide Version nr.: 840150-002 www.mjk.com DK: +45 45 56 06 56 NO: +47 69 20 60 70 SE: +46 53 31 77 50 NL: +31 251 672171 USA: +1 847 482 8655 AUS: +61

Nadere informatie

Een intelligent DMX netwerk

Een intelligent DMX netwerk WORKSHOP STEPP Een intelligent DMX netwerk WORKSHOP STEPP Wat is DMX? Een intelligent DMX netwerk Demo opstelling Probleem oplossing Wat is DMX? Hoe is het DMX signaal ontstaan DMX in de praktijk Hoe

Nadere informatie

Tomra 820 InTouch. Promotie film maken Donatie ontvanger aanmaken

Tomra 820 InTouch. Promotie film maken Donatie ontvanger aanmaken Tomra 820 InTouch Promotie film maken Donatie ontvanger aanmaken Tomra Systems Apeldoorn 09.05.2012 2 Tomra 820 InTouch Promotie film maken Donatie ontvanger aanmaken Er is gebruik gemaakt van freeware

Nadere informatie

Er zijn diverse andere software platformen en providers die werken met SIP, maar in dit voorbeeld gaan we uit van de volgende software:

Er zijn diverse andere software platformen en providers die werken met SIP, maar in dit voorbeeld gaan we uit van de volgende software: Er zijn diverse andere software platformen en providers die werken met SIP, maar in dit voorbeeld gaan we uit van de volgende software: Counterpath Bria SIP client. Net2 Entry Configuration Utility (SIP

Nadere informatie

SI-Profinet. Unidrive M200-M400 en Siemens S PLC (TIA portal)

SI-Profinet. Unidrive M200-M400 en Siemens S PLC (TIA portal) Omschrijving: In dit document wordt stap voor stap uitgelegd hoe met TIA portal de communicatie opgezet kan worden tussen een Siemens S7-500 PLC en een Unidrive M400 met V2 module. Dit document behandelt

Nadere informatie

DIGITALE MODE MET POWER SDR

DIGITALE MODE MET POWER SDR DIGITALE MODE MET POWER SDR Als u een SDR tranceiver of ontvanger in gebruik heeft, is het misschien ook leuk om de digitale modi zoals BPSK31, RTTY enz. enz. te kunnen ontvangen en zenden. We beperken

Nadere informatie

TUTORIAL VHDL MET QUARTUS 11.1 MODELSIM-ALTERA 10.0

TUTORIAL VHDL MET QUARTUS 11.1 MODELSIM-ALTERA 10.0 TUTORIAL VHDL MET QUARTUS 11.1 EN MODELSIM-ALTERA 10.0 J.E.J. op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 5 februari 2018 J.E.J.opdenBrouw@hhs.nl VERSIEHISTORIE Rev. Datum Aut. Beschrijving

Nadere informatie

Inhoudsopgave. Optimalisatie van de mmips. Forwarding optie 1. Design flow. implementation

Inhoudsopgave. Optimalisatie van de mmips. Forwarding optie 1. Design flow. implementation 2 Inhoudsopgave Optimalisatie van de mmips pc Sander Stuijk Veel gestelde vragen Hoe moet ik forwarding implementeren? Hoe moet ik clipping implementeren? Waarom is mijn simulatie zo traag? Hoe kan ik

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 1: Installeren en verifiëren van de SDE Door Hugo Arends, augustus 2012 Microcontrollers Een microcontroller is een elektronische component dat gebruikt wordt om (complexe)

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

Inhoud Inhoud. Over dit boek 7. 1 Eclipse IDE (Integrated Development Environment) 9. 2 Functionele specificatie 13

Inhoud Inhoud. Over dit boek 7. 1 Eclipse IDE (Integrated Development Environment) 9. 2 Functionele specificatie 13 5 Inhoud Inhoud Over dit boek 7 1 Eclipse IDE (Integrated Development Environment) 9 2 Functionele specificatie 13 3 Implementatie grafische gebruikersinterface 31 4 De klassen en methoden 57 5 Technische

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

RTC Opleidingen Beckhoff TwinCAT. Voorbereiding

RTC Opleidingen Beckhoff TwinCAT. Voorbereiding Voorbereiding Beckhoff Automation bvba Belgium Rudi Grouset v3.3-10/11/2014 Voorbereiding voor het volgen van de Beckhoff training Om de opleidingsdagen bij Beckhoff succesvol te laten doorgaan vragen

Nadere informatie

Digitaal System Ontwerpen Studiehandleiding

Digitaal System Ontwerpen Studiehandleiding Digitaal System Ontwerpen Studiehandleiding Embedded Systems Engineering Klassen: ES2, ES2D H. Riezebos 5 september 2012 Inhoud 1 Inleiding... 2 2 Beschrijving en beoordeling... 3 3 Tentamenstof... 6 4

Nadere informatie

Versturen van email vanuit een Delphi VCL toepassing

Versturen van email vanuit een Delphi VCL toepassing Versturen van email vanuit een Delphi VCL toepassing Voor Delphi bestaan uiteraard verschillende libraries om emails te versturen, maar in dit voorbeeld wordt een C# Dotnet library gebruikt en aangesproken

Nadere informatie

RTC Pick & Place Beckhoff TwinCAT. Voorbereiding

RTC Pick & Place Beckhoff TwinCAT. Voorbereiding Voorbereiding Beckhoff Automation bvba Belgium Rudi Grouset v2.1-13/09/2013 Voorbereiding voor het volgen van de Beckhoff training Om de opleidingsdagen bij Beckhoff succesvol te laten doorgaan vragen

Nadere informatie

Installatie SQL Server 2014

Installatie SQL Server 2014 Installatie SQL Server 2014 Download de SQL Server Express net advanced Services van de website: https://www.microsoft.com/en-us/download/details.aspx?id=42299 klik op Download. Als u een 64 bit variant

Nadere informatie

Installatie stappen Microsoft SQL Server 2008 R2 Express With Tools:

Installatie stappen Microsoft SQL Server 2008 R2 Express With Tools: Installatie stappen Microsoft SQL Server 2008 R2 Express With Tools: Versie: 201212031 Pagina 1 van 7 Dit stappenplan is gebasseerd op een computer zonder eerdere Microsoft SQL Server installaties. Is

Nadere informatie

Handleiding Invoeren van een Catia V5R19 document in SmarTeam

Handleiding Invoeren van een Catia V5R19 document in SmarTeam Handleiding Invoeren van een Catia V5R19 document in SmarTeam Versie: 1 Rev. 1 Datum: 08-12- 09 1. Maak een part, product (= assembly) of tekening in Catia. Bij het aanmaken van Part en Product in Catia

Nadere informatie

TUTORIAL SCHEMATIC ENTRY MET QUARTUS 11.1 MODELSIM-ALTERA 10.0

TUTORIAL SCHEMATIC ENTRY MET QUARTUS 11.1 MODELSIM-ALTERA 10.0 TUTORIAL SCHEMATIC ENTRY MET QUARTUS 11.1 EN MODELSIM-ALTERA 10.0 J.E.J. op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 4 september 2015 J.E.J.opdenBrouw@hhs.nl INHOUDSOPGAVE 1 Inleiding 5

Nadere informatie

Installatie & handleiding Scanning tool (air tool) en/of dragon scanners

Installatie & handleiding Scanning tool (air tool) en/of dragon scanners OXYNADE NV (TELETICKETEASY, MIJNEVENT, ANTIGONETICKETS) Installatie & handleiding Scanning tool (air tool) en/of dragon scanners Gemaakt door: Gaetan Van der Eecken, Account Manager Reviewed by: Jef Driesen,

Nadere informatie

Q: Hoe configureer ik het gebruik van een Hitachi CPU in CODESYS v3.5.x.x?

Q: Hoe configureer ik het gebruik van een Hitachi CPU in CODESYS v3.5.x.x? Q: Hoe configureer ik het gebruik van een Hitachi CPU in CODESYS v3.5.x.x? A: Dit document geeft hier uitleg over. Voordat het mogelijk is om een Hitachi CPU te gebruiken binnen de ontwikkelomgeving van

Nadere informatie

Installatie stappen Microsoft SQL Server 2012 Express With Tools:

Installatie stappen Microsoft SQL Server 2012 Express With Tools: Versie: 2012120303 Pagina 1 van 7 Installatie stappen Microsoft SQL Server 2012 Express With Tools: Wij adviseren om de installatie te doen met een gebruiker met volledige rechten. Dit stappenplan is gebasseerd

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

SHINELAN Stappen installeren ShineWiFi Growatt HANDLEIDING. Installeren ShineWiFi

SHINELAN Stappen installeren ShineWiFi Growatt HANDLEIDING. Installeren ShineWiFi SHINELAN Stappen installeren ShineWiFi Growatt HANDLEIDING Installeren ShineWiFi Inhoud Stappen installatie:... 2 Stap 1:... 2 Stap 2:... 2 Stap 3:... 2 Stap 4:... 2 Stap 5:... 2 Stap 6:... 2 Stap 7:...

Nadere informatie

18 Embedded systemen 1

18 Embedded systemen 1 18 Embedded systemen 1 r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15(pc) NZCV CPSR Figuur 18.1 ARM-programmeermodel Byte 0 Byte 1 Byte 2 Byte 3 Byte 3 Byte 2 Byte 1 Byte 0 Figuur 18.2 Endian conversie

Nadere informatie

Locobuffer Handleiding

Locobuffer Handleiding Locobuffer Handleiding HDM09 Disclaimer van Aansprakelijkheid: Het gebruik van alle items die kunnen worden gekocht en alle installatie-instructies die kunnen worden gevonden op deze site is op eigen risico.

Nadere informatie

Ontwerp je eigen chip (5) poortjes programmatisch verknoopt

Ontwerp je eigen chip (5) poortjes programmatisch verknoopt Ontwerp je eigen chip (5) 250 000 poortjes programmatisch verknoopt Clemens Valens (Elektor.Labs) Hoewel het heel goed mogelijk is om een FPGA-applicatie te ontwerpen als een schakeling van logische symbolen,

Nadere informatie

EM2 Microcontroller Project. LED cube

EM2 Microcontroller Project. LED cube EM2 Microcontroller Project LED cube Door: Dennis Koster Klas: Tc202 Studentnummer: 536496 Docent: Jan Derriks & Ruud Slokker Versie 1.0 (12-1-2009) Inhoudsopgave Inleiding 3 De onderdelen 4 t/ m 6 Het

Nadere informatie

Configureren van een VPN L2TP/IPSEC verbinding. In combinatie met:

Configureren van een VPN L2TP/IPSEC verbinding. In combinatie met: Configureren van een VPN L2TP/IPSEC verbinding In combinatie met: Inhoudsopgave 1. Voorbereiding.... 3 2. Domaincontroller installeren en configuren.... 4 3. VPN Server Installeren en Configureren... 7

Nadere informatie

SI-Profinet. Unidrive M700 en Siemens S7-300 PLC (Step 7)

SI-Profinet. Unidrive M700 en Siemens S7-300 PLC (Step 7) Omschrijving: In dit document wordt stap voor stap uitgelegd hoe met Simatic Step 7 de communicatie opgezet kan worden tussen een Siemens S7-00 PLC en een Unidrive M700 met V2 module. Dit document behandelt

Nadere informatie

Xilinx JTAG Programmer

Xilinx JTAG Programmer Xilinx JTAG Programmer User Manual Versie 1 14-10-2007 Jeroen Boere 1 Voorwoord Deze beknopte handleiding zal uitleg geven over de Xilinx JTAG programmer. De auteur kan omwille van geen enkele reden verantwoordelijk

Nadere informatie

AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT. Geschreven door: Tom Vocke

AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT. Geschreven door: Tom Vocke AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT Geschreven door: Tom Vocke Datum: 28-09-2010 Inleiding: Dit document bevat alle hardware informatie betreffende de AVR-Doper mini. Het eerste deel zal zich

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Advies, Productie, Reproductie, Faciliteiten, Presentatie, Verhuur, Verkoop

Advies, Productie, Reproductie, Faciliteiten, Presentatie, Verhuur, Verkoop Hardware BrightSign mediaplayers HD110, HD120, HD210(W), HD220, HD410, HD810, HD1010(W), HD1020 Ports Achterzijde van de unit: Power plug for 5V power input at 3A 10/100 Ethernet jack HDMI connector Triple

Nadere informatie

Logo quiz Project Inleiding op de Logo!

Logo quiz Project Inleiding op de Logo! Logo quiz Project Inleiding op de Logo! Project ontwikkelt door: Tim van Schuylenburg Ben van Kruisbergen Inhoudsopgave Projectbeschrijving en Planning 2 Blz. De benodigdheden en aansluitschema 4-8 Complete

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Driver installatie en configuratie.

Driver installatie en configuratie. Een publicatie van Canon Nederland N.V. Driver installatie en configuratie. Betreft: ir-adv C20XX(i) ir-adv C70XX(i) ir-adv 40XX(i) Nummer : gi_2012_0018_00 ir-adv C22XX(i) ir-adv C72XX(i) ir-adv 60XX(i)

Nadere informatie

Dynamische Circuitspecialisatie

Dynamische Circuitspecialisatie Dynamische Circuitspecialisatie Karel Bruneel promotor: prof. Dirk Stroobandt Field Programmable Gate Array 11111111111 1111111111111 1111111111 11111111111 Digitale GSM- 111111111 1111111111 11111 chip

Nadere informatie

Beckhoff. Motion Control PTP 03.05.2013 1

Beckhoff. Motion Control PTP 03.05.2013 1 Beckhoff Motion Control PTP 03.05.2013 1 Motion Control PTP Agenda: Wat is Motion Control. TwinCAT Motion Controller. Stappenmotor in Open Loop Control Stappenmotor in Closed Loop Control ServoDrive AX5000

Nadere informatie

Demo Sweetlight. 04 maart 2014 Niels Vanmarcke

Demo Sweetlight. 04 maart 2014 Niels Vanmarcke Demo Sweetlight 04 maart 2014 Niels Vanmarcke Inhoud Basis DMX Sweetlight: Algemeen Setup Editor Live Timeline Interfaces 2 Basis DMX Digital Multiplexed 1 universe = 512 kanalen 1 kanaal = 0-255 bits

Nadere informatie

Wat is Arduino? Arduino = microprocessor (Atmel)

Wat is Arduino? Arduino = microprocessor (Atmel) Intro tot Arduino Wat is Arduino? Volgens de website: Arduino is an open-source electronics prototyping platform based on flexible, easy-to-use hardware and software. It's intended for artists, designers,

Nadere informatie

WORKSHOP ORANGE PI & PYTHON v september 2017

WORKSHOP ORANGE PI & PYTHON v september 2017 WORKSHOP ORANGE PI & PYTHON v1.1 21 september 2017 In deze workshop maak je kennis met een zogenaamde bord-computer ter grootte van een bankpas. Een bord-computer kan gebruikt worden als een normale computer

Nadere informatie

Colofon. Joost van den Brink - 2 -

Colofon. Joost van den Brink - 2 - Colofon Auteur: Eindredactie: Jaap Jan de Jong Thijs A. Afman Joost van den Brink Dit is een uitgave van Brink Technical Solutions BV 2011. Deze uitgave mag vrij worden gekopieerd binnen educatieve instellingen.

Nadere informatie

Voeler ingang van de ilog recorder. Stop de temperatuurvoeler

Voeler ingang van de ilog recorder. Stop de temperatuurvoeler 1) Standaard interface (EA-INT) Oud model. 2) Universele interface (EA-INT-U) Nieuw model. Beide interfaces hebben een DB9 (9 pins) connector en uw PC heeft een RS232 seriële poort nodig. Escort ilog Dataloggers

Nadere informatie

Installatie SQL Server 2012

Installatie SQL Server 2012 Installatie SQL Server 2012 Download de SQL Server express net Advanced Services van de website: https://www.microsoft.com/en-us/download/details.aspx?id=29062 klik op Download. Als u een 64 bit variant

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 2: Architectuur ATmega32 en STK500 Door Hugo Arends, september 2012 Architectuur ATmega32 Een uitgebreide en gedetailleerde beschrijving van de ATmega32 microcontroller

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Inregelvoorschriften optische nodes. Inregelvoorschriften universele versterkers

Inregelvoorschriften optische nodes. Inregelvoorschriften universele versterkers Inregelvoorschriften optische nodes Node Teleste AC800 Z Node Vector BETA Pro Inregelvoorschriften universele versterkers GV en EV Technetix DBC-1200 Examen Telecom CAI Onderdeel 2B CO Bijlage: 5 Inregelvoorschriften

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

INHOUD. KHLim dep IWT MeRa 1/22

INHOUD. KHLim dep IWT MeRa 1/22 INHOUD 1.Aanmaken van een nieuw S7 project... 2 1.1 Openen van een nieuw project.... 2 1.2 invoegen van een S7 station... 2 1.3 openen van de hardware... 3 1.4 Invoegen van een Rack... 3 1.5 Downloaden

Nadere informatie

Installatie en gebruikershandleiding Cyso Hosted Exchange MacOS X Uw gegevens:

Installatie en gebruikershandleiding Cyso Hosted Exchange MacOS X Uw gegevens: Installatie en gebruikershandleiding Cyso Hosted Exchange MacOS X Uw gegevens: Gebruikersnaam, bijvoorbeeld: et001 E-mail adres, bijvoorbeeld: tjebbe@exchangetest.nl wachtwoord, bijvoorbeeld: 89Kotahe

Nadere informatie

QUICK START. IP cam viewer.

QUICK START. IP cam viewer. QUICK START IP cam viewer www.profile.eu 1 Hardware Installation Verbind de camera met de stroom via de meegeleverde adaptor Verbind de camera met uw router via de meegeleverde netwerkkabel Verbind de

Nadere informatie