Sequentiële schakelingen

Maat: px
Weergave met pagina beginnen:

Download "Sequentiële schakelingen"

Transcriptie

1 Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten (et) geheugen op te zetten (eset)» onafhankelijk van een klok in het systeem

2 Eenvoudig circuit met feedback Geheugen elementen worden bekomen aan de hand van poorten waarvan de uitgangen terug verbonden worden aan de ingang een even aantal inversies nodig in de lus Eenvoudigste poort: de inverter een lus met 2 invertoren is het basiselement van commerciële static AM (AM) Lussen van NO poorten en NAN poorten worden ook gebruikt "" "" Lus van inverters: tatische geheugen Cel L A \L L \L Z electieve onderbreking van de lus om nieuwe data in het geheugen te kunnen schrijven L is de klok A is de data

3 Lus met NO poorten: latch lus voorstelling Werkt als een lus van inverters als zowel de reset() als de set() zijn. = Als de eset () is wordt de uitgang () gedwongen Als de set () is wordt de inversie van de uitgang (\) gedwongen «Normaal wordt de uitgang dan Er is een probleem als en gelijktijdig zijn \ Normale FF voorstelling

4 Gedrag van de latch e volgende toestand van het geheugen (+) wordt: e huidige toestand als = en = als = als = + onstabiel + = + als =

5 ymbool van de latch Amerikaans symbool \ Europees ymbool \

6 Gedrag van de latch geheugen onstabiel Waarheidstabel van het - Latch gedrag

7 Theoretisch latch toestandsdiagram =, =, = = = = = = = = = =, =

8 Waargenomen latch gedrag =, =, = = = = = = = = = Het is zeer moeilijk om de - Latch in de - state te brengen Keert in de praktijk terug naar state - of - it noemt men de "race conditie"

9 Lus met NAN poorten lus voorstelling Werkt als een lus van inverters als zowel de reset(\) als de set(\) zijn. Als de eset () is wordt de inversie van de uitgang (\) gedwongen «Normaal wordt de uitgang dan Als de set () is wordt de uitgang () gedwongen \ Er is een probleem als en gelijktijdig zijn Normale FF voorstelling

10 Gedrag negatieve input latch e volgende toestand van het geheugen (+) wordt: e huidige toestand als = en = als = als = + onstabiel + = + als + =

11 ymbool negatieve input latch Amerikaans symbool \ Europees ymbool \

12 Toepassing: ontdenderen van schakelaars +5V a W & W a sluit b opent a opent b sluit t GN b & t t t

13 Geklokte latch klok klok symbool C \ \ Normaal verandert de latch van zodra er een set () of een reset () toekomt Hier moet er een et () komen en een klokpuls of een eset () en een klokpuls e veranderingen gebeuren dus synchroon met de klok

14 latch klok \ e ingang is de et () de inverse van de ingang is de eset () et en eset nooit gelijktijdig hoog

15 JK latch Hoe kunnen we de verboden toestand elimineren? Oplossing: maak gebruik van de uitgang om ervoor te zorgen dat en nooit gelijktijdig zijn Indien J, K beide gelijktijdig zijn zal de flip-flop van toestand veranderen K J - latch \ \ J Karakteristieke vergelijking: + = K + J K \

16 Geklokte JK Flip-Flop J en K signalen worden maar actief van zodra de klok hoog wordt klok K J - latch \ \ klok J C K \

17 efinitie setup en hold tijd Input T su T h Clock Er Er is is een een tijds tijds venster venster rond rond de de klok klok event event waarin waarin de de inputs inputs stabiel stabiel en en onveranderd moeten moeten blijven blijven om om juist juist herkend te te kunnen kunnen worden worden etup Tijd (T su ) Minimum tijd voor de klok event gedurende dewelke de inputs stabiel moeten blijven Hold Tijd (T h ) Minimum tijd na de klok event gedurende dewelke de inputs stabiel moeten blijven

18 Verschil tussen flank getriggerde FF en latches 7474 Flank getriggerde FF bemonsteren het signaal op de flank van de klok Clk Positive edge-triggered flip-flop 7476 C Clk Level-sensitive latch latches bemonsteren het signaal zolang de klok hoog is Timing iagram: Clk Gedrag is hetzelfde tenzij de input verandert terwijl de klok hoog is

19 Timing specificaties: FF 74L74 Positieve flank getriggerde Flipflop etuptijd Hold tijd Minimum klok breedte Propagatie vertraging (low to high, high to low, maximum en typisch) Clk T su 2 ns T h 5 ns T w 25 ns T plh 25 ns 3 ns T su 2 ns T h 5 ns T phl 4 ns 25 ns Alle metingen van tijden worden opgegeven ten opzichte van de klok event dit is de stijgende flank van de klok

20 Timing specificaties: Latches 74L76 Transparent Latch etuptijd Hold tijd Minimum klok breedte Propagatie vertraging: high to low, low to high, maximum, typisch data to output clock to output Clk T su 2 ns T w 2 ns T plh C» 27 ns 5 ns T h 5 ns T su 2 ns T phl C» 25 ns 4 ns T h 5 ns T plh» 27 ns 5 ns T phl» 6 ns 7 ns

21 Flank getriggerde Flip Flop Houd vast wanneer de klok daalt Negatieve flank getriggerde flipflop Clk= 4-5 poort vertragingen setup en hold tijden steeds noodzakelijk om juist de input op te slaan Houd vast wanneer de klok daalt Negatieve flank getriggerde flipflop wanneer de klok hoog is Karakteristieke vergelijking: + =

22 Flank getriggerde Flip Flop tap voor stap analyse 4 3 Clk= Clk= ' Negatieve flank getriggerde flipflop wanneer de klok gaat van hoog naar laag: data wordt opgeslagen Negatieve flank getriggerde flipflop wanneer de klok is laag: data wordt behouden

23 Positieve tegenover negatieve flank getriggerde FF Clk pos \ pos neg \ neg Positieve flank getriggerde FF Inputs worden gesampled op de stijgende flank Outputs veranderen na de stijgende flank Positieve flank getriggerde FF Negatieve flank getriggerde FF Negatieve flank getriggerde FF Inputs worden gesampled op de dalende flank Outputs veranderen na de dalende flank

24 Master slave JK FF Meester trap laaf trap K J - Latch \ \P P - Latch \ \ Clk ample inputs wanneer de klok hoog is ample inputs wanneer de klok laag is Gebruikt de de tijd tijd om om een een mogelijke lus lus van van de de outputs naar naar de de inputs inputste te breken! J K Clk 's et eset Catch T oggle P \ P \ Master outputs lave outputs

25 Latches tegenover Flip-flops Input/Output gedrag van latches en Flipflops Type Wanneer gebeurt de sampeling Wanneer zijn Outputs Valid ongeklokte altijd propagatie vertraging latch na de input verandering level klok hoog propagatie vertraging sensitive na de input verandering latch positieve flank klok laag naar hoog propagatie vertraging getriggerde FF transitie stijgende flank van de klok negatieve flank klok hoog naar laag propagatie vertraging getriggerde FF transitie dalende flank van de klok master/slave klok hoog naar laag propagatie vertraging flipflop transitie dalende flank van de klok

26 Keuze van de Flipflop geklokte Latch: gebruikt als opslagelement in systemen met nauwe klokken Het gebruik is niet aan te raden! Het is een fundamentele bouwblok van andere FF J-K Flipflop: flexibel bouw blok kan gebruikt worden om and T FFs te realiseren vraagt vaak het minst logica om een next state functie te realiseren heeft 2 ingangen wat het verbindingsnetwerk complexer maakt Flipflop: minimaliseert het aantal draden wordt verkozen µ in VLI technologie meest eenvoudige ontwerp techniek beste keuze voor opslag elementen T Flipflops: komen niet vaak voor, meestal gemaakt van J-K FFs de beste keuze op tellers te maken Preset en Clear inputs zijn in de meeste gevallen best aanwezig!!

27 Overgaan naar een ander type FF Karakteristieke vergelijkingen -: + = + : + = J-K: + = J + K T: + = T + T Afkomstig van de Karnaugh kaarten voor de volgende toestand + = ƒ(inputs, ) b.v., J=K=, then + = J=, K=, then + = J=, K=, then + = J=, K=, then + = Implementatie van een FF aan de hand van een andere FF J C K K J C geïmplementeerd met J-K FF J-K geïmplementeerd met -FF

28 Flank-getriggerde FF met prioriteitsingangen Elke geklokte FF kan ook uitgevoerd worden met een Asynchrone reset Asynchrone preset eset Clk= C Preset

29 JK latch met asynchrone preset en clear P J & & CLK K & & _ J C K

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college EE4: igitale Systemen BSc. EE, e jaar, 22-23, 4e college Arjan van Genderen, Stephan Wong, Computer Engineering 2-2-23 elft University of Technology Challenge the future Mededelingen Volgende week tijdens

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen: EGITE Een groep van flipflops om data te stockeren bufferregisters: om gegevens tijdelijk op te slaan schuifregisters: de inhoud verschuift doorheen de flipflops ynchrone schakeling Kan opgebouwd worden

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Een flipflop is een digitale schakeling die in staat is een logische "1" of een logische "0" op te slaan en te bewaren in de tijd.

Een flipflop is een digitale schakeling die in staat is een logische 1 of een logische 0 op te slaan en te bewaren in de tijd. 1 FLIPFLOPS In dit hoofdstuk bestuderen we de verschillende soorten flipflops. De kennis hiervan is noodzakelijk om achteraf een goed inzicht te verwerven in de werking en toepassing van meer complexe

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23 469 Appendix symbolen door prof. ir. C.H. Eversdijk & ir. A.P. Thijssen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 C-afhankelijkheid 471 A.19 Symbolen voor latches

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Oefenopgaven nr. 1 Opgave 1.1

Oefenopgaven nr. 1 Opgave 1.1 Oefenopgaven nr. 1 Opgave 1.1 Beschouw onderstaande transistor. De technologie is de 0.25µm technologie uit het boek, maar we nemen λ=0 en V DSAT =. (Opm.: De zinsnede is de 0.25µm technologie uit het

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Samenvatting Computer Architectuur 2006-2007

Samenvatting Computer Architectuur 2006-2007 Sequentiële logica Wat is sequentiële logica We noemen dit ook wel final state machine. Het neemt een ingang en een huidige toestand en vertaalt die via een combinatorische functie in een uitgang en een

Nadere informatie

Logische bit-instructies

Logische bit-instructies Logische bit-instructies I. I. (MCRA) I. (MCR

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Klasse B output buffer voor een Flat Panel Display Kolom aansturing

Klasse B output buffer voor een Flat Panel Display Kolom aansturing Gevalstudie 1 Klasse B output buffer voor een Flat Panel Display Kolom aansturing IEEE Journal of Solid-state circuits, Vol 34, No 1, Januari 1999, pp 116-119 Jan Genoe KHLim Flat Panel display kolom driver

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen Jan Genoe KHLim Situering RAM-geheugens Geheugens Halfgeleider Geheugens Serieel toegankelijk geheugen Willekeurig toegankelijk geheugen Read Only Memory ROM Random Access Memory RAM Statische RAM SRAM

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

Hfdst. 2: COMBINATORISCH PROGRAMMEREN

Hfdst. 2: COMBINATORISCH PROGRAMMEREN 2.1. Basisinstructies: 2.1.1. Ja-functie: Indien je een normaal open schakelaar bedient, moet de lamp oplichten. Waarheidstabel: Booleaanse schrijfwijze: Q0.0 = I0.0 2.1.2. Niet-functie: Waarheidstabel:

Nadere informatie

digitale meettechniek J.P.GOEMAERE

digitale meettechniek J.P.GOEMAERE Vak: docent: digitale meettechniek J.P.GOEMAERE Opleidingsonderdeel : Digitale meetinstrumenten en DSP O.O.V: J.P.GOEMAERE 1 Opleidingsonderdeel : digitale meettechnieken en DSP Vak: digitale meettechniek

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/214-215 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Fysische Informatica met FLEC

Fysische Informatica met FLEC Fysische Informatica met FLEC Inleiding De werking van de schakelingen die je gemaakt hebt bij het onderwerp fysische informatica kunnen op 2 manieren gecontroleerd worden. De eerste manier is met behulp

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college EE4: igitale Systemen Sc. EE, e jaar, 22-23, 3e college rjan van Genderen, Stephan Wong, omputer Engineering 8-2-23 elft University of Technology hallenge the future Hoorcollege 3 anonieke vorm two-level

Nadere informatie

Hfdst. 2: COMBINATORISCHE LOGICA

Hfdst. 2: COMBINATORISCHE LOGICA Hfdst. 2: COMBINATOISCHE LOGICA DEEL 2: Prioriteitsregels: 2.3.1. Het begrip stack : Duid een groep geheugenplaatsen aan die door de CPU wordt gebruikt om het programma te verwerken. Bij het gebruik van

Nadere informatie

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops)

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) PBa ELO/ICT Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) bewaren het aantal getelde pulsen (d.i. de stand van de teller) Opdeling:

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files 1 Introductie In deze oefening zal je je LCD display leren aansturen. Je controleert deze display door er instructies naar te

Nadere informatie

Analoge en Digitale Elektronica

Analoge en Digitale Elektronica Analoge en Digitale Elektronica 14 september 2007 1 2 de zit 2006-2007 Bespreek het potentiaalverloop en de stroomcomponenten doorheen een PN junctie in ongepolariseerde toestand, bij voorwaartse polarisatie,

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische Schakelingen (ET1205-D2) Datum: maandag 30 juni 2008 Tijd: 09.00 12.00 uur Naam: Studienummer: Cijfer Lees dit

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Hardware High Speed Counters (HSC) dienen aangesloten te worden op de general-purpose inputs X0 t/m X7.

Hardware High Speed Counters (HSC) dienen aangesloten te worden op de general-purpose inputs X0 t/m X7. Datum : 27 november 2009 Aangemaakt door : Matthias FAQ 5 : Gebruik van High Speed Counters van de compact PLC (FX3u) Hardware High Speed Counters (HSC) dienen aangesloten te worden op de general-purpose

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

Basisoefeningen en uitwerkingen Systeembord. Opgaven en antwoorden op It s learning en agtijmensen.nl

Basisoefeningen en uitwerkingen Systeembord. Opgaven en antwoorden op It s learning en agtijmensen.nl Opgaven en antwoorden op It s learning en agtijmensen.nl Opgave 1. Een spanning van V noemen we ook hoog of 1. Een spanning van 0 V noemen we laag of 0. In de schakeling van figuur 1 wordt op de punten

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

Logische algebra. 1. Wat zijn Booleaanse variabelen? 2. Bewerkingen op Booleaanse variabelen. 2.1 Inversie. 2.2 Product

Logische algebra. 1. Wat zijn Booleaanse variabelen? 2. Bewerkingen op Booleaanse variabelen. 2.1 Inversie. 2.2 Product Logische algebra e blokken combinatorische logica vormen een belangrijk deel van de digitale elektronica. In een blok combinatorische logica wordt van een aantal digitale ingangssignalen een aantal digitale

Nadere informatie

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave):

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): D is de normale data ingang C is de normale fase 1 klok I is de data ingang van het shift-regiester A is de klok

Nadere informatie

HOOFDSTUK 6: Logische Schakelingen

HOOFDSTUK 6: Logische Schakelingen HOOFDSTUK 6: Logische Schakelingen 1. Inleiding combinatorisch vs. sequentieel gedrag gedrag v/e circuit = relatie tussen binaire waarden uit uitgangen en binaire waarden op ingangen combinatorisch gedrag

Nadere informatie

9 Tijdsfuncties. 9.1 Voorstelling tijden

9 Tijdsfuncties. 9.1 Voorstelling tijden 9 Tijdsfuncties 9.1 Voorstelling tijden Een speciaal gedeelte van het geheugen van de CPU is gereserveerd voor timers. In dit geheugengedeelte is er voor iedere timer een 16-bit woord gereserveerd. Het

Nadere informatie

Basisschakelingen en poorten in de CMOS technologie

Basisschakelingen en poorten in de CMOS technologie asisschakelingen en poorten in de CMOS technologie Jan Genoe KHLim Universitaire Campus, Gebouw -359 Diepenbeek www.khlim.be/~jgenoe In dit hoofdstuk bespreken we de basisschakelingen en poorten in de

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

Problemen met platte toestandsdiagrammen

Problemen met platte toestandsdiagrammen Deel I Hoofdstuk 5: Modelleren van toestand -- gevorderd 2005 Prof Dr. O. De Troyer OO modelleren pag. 1 Problemen met platte toestandsdiagrammen Bij complexe systemen krijgt men een explosie van toestanden

Nadere informatie

Ontwerp van digitale systemen. in VHDL

Ontwerp van digitale systemen. in VHDL Ontwerp van digitale systemen in VHDL Luc Friant Inhoud - 1 - Inhoud - 2 - Inhoud Voorwoord 1. Hoofdstuk 1 Algemene structuur in VHDL 2. Hoofdstuk 2 De beschrijving van sequentiële logica in VHDL 3. Hoofdstuk

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

Slimme schakelingen (2)

Slimme schakelingen (2) Slimme schakelingen (2) Technische informatica in de zorg Thijs Harleman Modulecode: TMGZ-AMAL23 23 februari 2015 1 Overzicht college Doel van dit college: Verdiepen van kennis en inzicht van het ontwerpen

Nadere informatie

DSLSTL. Handleiding Copyright 2008. Handleiding DSLSTL Pagina 1 of 11

DSLSTL. Handleiding Copyright 2008. Handleiding DSLSTL Pagina 1 of 11 DSLSTL Handleiding Copyright 2008 Handleiding DSLSTL Pagina 1 of 11 1 Versie beheer...3 2 Algemene omschrijving DSLSTL...4 3 Gebruik achter een router en/of firewall...5 4 Installeren van de software...6

Nadere informatie

Om een PLC te kunnen programmeren is het belangrijk te weten hoe de PLC het programma verwerkt. (Zie 2.4 blz. 35-)

Om een PLC te kunnen programmeren is het belangrijk te weten hoe de PLC het programma verwerkt. (Zie 2.4 blz. 35-) Vervolg 3 PLC programmering Om een PLC te kunnen programmeren is het belangrijk te weten hoe de PLC het programma verwerkt. (Zie 2.4 blz. 35-) 3.1 Programma verwerking samengevat 3.1.1 PLC-cyclus 1. De

Nadere informatie

Hoofdstuk 2 Elektronische Systemen en Instrumentatie

Hoofdstuk 2 Elektronische Systemen en Instrumentatie Hoofdstuk 2 Elektronische Systemen en Instrumentatie Hanne Thienpondt Gebaseerd op de PowerPoint van Prof. Dr. ir. Jan Doutreloigne H2: Analyse en synthese van elektronische schakelingen Analyse van analoge

Nadere informatie

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde Alles op de kop Johan Smilde Dobbelsteen D02i werkt precies andersom! Deze dobbelsteen heeft omgekeerde uitgangen ten opzichte van de vorige. Dat wil zeggen dat de uitgangen hier niet actief hoog zijn

Nadere informatie

Deeltoets Digitale technieken

Deeltoets Digitale technieken Deeltoets Digitale technieken André Deutz 22 oktober, 2007 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Getallenrepresenta*e. Processen en Processoren 7 februari 2012 Getallenrepresenta*e Processen en Processoren 7 februari 2012 Vrijwilligers voor dinsdagmiddag werkcollege ca. 17 studenten dinsdagmiddag 15.45, ca. 33 studenten woensdagochtend 10.45 bonusregeling Als

Nadere informatie

Een intelligent DMX netwerk

Een intelligent DMX netwerk WORKSHOP STEPP Een intelligent DMX netwerk WORKSHOP STEPP Wat is DMX? Een intelligent DMX netwerk Demo opstelling Probleem oplossing Wat is DMX? Hoe is het DMX signaal ontstaan DMX in de praktijk Hoe

Nadere informatie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie www.arduino.cc Arduino en DDS DDS chips DDS = Direct Digital (frequency) Synthesis Output = sinusvormig signaal Maximum frequentie = ½ klokfrequentie Frequentie bepaald door tuning word Grootste fabrikant:

Nadere informatie

De PROFIBUS, PROFINET & IO-Link dag. Share our Vision for Automation

De PROFIBUS, PROFINET & IO-Link dag. Share our Vision for Automation De PROFIBUS, PROFINET & Share our Vision for Automation IO-Link dag 2010 Veiligheidstechniek Machine veiligheid in PROFIBUS en PROFINET 2 Harm Geurink Product Manager AUTOMATION systems Phoenix Contact

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen Samenvatting door A. 1243 woorden 12 april 2013 7,6 12 keer beoordeeld Vak Natuurkunde Natuurkunde Systemen Systemen We onderscheiden 3 soorten gegevensverwerkende systemen: meetsysteem: meet een grootheid

Nadere informatie

Getalformaten, timers en tellers

Getalformaten, timers en tellers Getalformaten, timers en tellers S_CU CU S PV R CV DEZ CV_BCD S_ODT S TV BI R BCD 1 pagina 1 Getalformaten (16 bits) PG CPU BCD W#16#296 Voorteken (+) 2 9 6 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 0 Positieve getallen

Nadere informatie

Jan Genoe KHLim. PCI Signalen en timing

Jan Genoe KHLim. PCI Signalen en timing PCI Signalen en timing Jan Genoe KHLim 1 Doelstellingen PCI bus: 1. Laag vermogenverbruik 5 V en 3.3 V signaalomgeving Verschillende kaarten voor 3.3 V en 5 V Het doel is een evolutie naar 3.3 V bus en

Nadere informatie

CVO PANTA RHEI - Schoonmeersstraat GENT

CVO PANTA RHEI - Schoonmeersstraat GENT identificatie opleiding Graduaat Elektronica modulenaam Digitale sequentiële technieken code module BB2 Geldig vanaf 12/01/2017 aantal lestijden 80 studiepunten structuurschema / volgtijdelijkheid link:

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

12. GEÏNTEGREERDE SCHAKELINGEN

12. GEÏNTEGREERDE SCHAKELINGEN 2-2. GEÏNTEGEEDE SCHAKELINGEN Tot nog toe hebben we in schakelingen met versterkende elementen en dergelijke steeds enkelvoudige elementen toegepast, dat wil zeggen, FET s, transistoren en buizen. Er is

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

De digitale Oscilloscoop (Digital Storage Oscilloscope = DSO) J.P.Goemaere KaHo Sint-Lieven 2006

De digitale Oscilloscoop (Digital Storage Oscilloscope = DSO) J.P.Goemaere KaHo Sint-Lieven 2006 De digitale Oscilloscoop (Digital Storage Oscilloscope = DSO) J.P.Goemaere KaHo Sint-Lieven 2006 De digitale Oscilloscoop Overzicht Digitaal DSO functies en controls Automatische meten en verwerken Interfacing

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie

Gebruikshandleiding lusdetector 1 lus (enkeldetetector type Vector TOE 40/7)

Gebruikshandleiding lusdetector 1 lus (enkeldetetector type Vector TOE 40/7) Gebruikshandleiding lusdetector 1 lus (enkeldetetector type Vector TOE 40/7) 1) Technische specificaties * Behuizing IP30 * Verbinding Schroefklemmen voor draden van max 1,5 mm² * Werkingstemperatuur -20

Nadere informatie

Hoofdstuk 3: Algebra van Boole

Hoofdstuk 3: Algebra van Boole Hoofdstuk 3: lgebra van oole ij het ontwerpen van elektronische systemen is het uit economisch standpunt van belang dat er uiteindelijk een praktische realisatie tot stand komt met zo weinig mogelijk I's.

Nadere informatie

Schriftelijke zitting Regeltechniek (WB2207) 3 november 2011 van 9:00 tot 12:00 uur

Schriftelijke zitting Regeltechniek (WB2207) 3 november 2011 van 9:00 tot 12:00 uur Schriftelijke zitting Regeltechniek (WB2207) 3 november 2011 van 9:00 tot 12:00 uur Onderstaande aanwijzingen nauwkeurig lezen. Vul op het voorblad uw naam, voorletters, studienummer en opleiding in. Dit

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

CP352_1. Up/down ramper met input 10A

CP352_1. Up/down ramper met input 10A CP5_ Up/down ramper met input 0A Kenmerken De CP5_module is een kanaals PWM dimmer met BCDsettings. De module is bedoeld als SOFTaanloop voor ledstrips en lampen. Zeer eenvoudige aansluiting : voeding

Nadere informatie

11/05 HD2302.0. Lees ook het engelse boekje

11/05 HD2302.0. Lees ook het engelse boekje REV. 1.3 11/05 HD2302.0 Lees ook het engelse boekje Photo-Radiometer HD2302 - - HD2302.0 1. Ingang voor sensoren, 8-pole DIN45326 connector. 2. Batterij symbool: displays de batterij spanning. 3. Functie

Nadere informatie

HANDLEIDING - LEVEL INDICATOR M A N U A L

HANDLEIDING - LEVEL INDICATOR M A N U A L HANDLEIDING - LEVEL INDICATOR M A N U A L Ondanks de grootst mogelijke zorgvuldigheid die Tasseron Electronics B.V. aan haar producten en de bijbehorende handleidingen besteedt, kunnen er onvolkomenheden

Nadere informatie

Digitale systemen. Hoofdstuk 6. 6.1 De digitale regelaar

Digitale systemen. Hoofdstuk 6. 6.1 De digitale regelaar Hoofdstuk 6 Digitale systemen Doelstellingen 1. Weten dat digitale systemen andere stabiliteitsvoorwaarden hebben In deze tijd van digitalisatie is het gebruik van computers in regelkringen alom.denk maar

Nadere informatie

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur. Technische Universiteit Eindhoven Faculteit Elektrotechniek EXAMENONDEDEEL ELEKTONISHE INSTUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot 2.00 uur. Het gebruik van het collegedictaat Elektronische

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Proef Natuurkunde Practica hoofdstuk 3

Proef Natuurkunde Practica hoofdstuk 3 Proef Natuurkunde Practica hoofdstuk 3 Proef door een scholier 1045 woorden 9 februari 2009 5,9 13 keer beoordeeld Vak Methode Natuurkunde Natuurkunde overal Verslag over proef 3.1 A. Hoe ziet de ijkkromme

Nadere informatie

Tentamen Geïntegreerde Schakelingen (TU Delft EE2C11) Datum: vrijdag 6 november 2C 15 Tijd: 13: uur

Tentamen Geïntegreerde Schakelingen (TU Delft EE2C11) Datum: vrijdag 6 november 2C 15 Tijd: 13: uur Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Geïntegreerde Schakelingen (TU Delft EE2C11) Datum: vrijdag 6 november 2C 15 Tijd: 13:30-16.30 uur Naam: U li U.;Ot&. (^fteyv

Nadere informatie