Combinatorisch tegenover sequentieel

Maat: px
Weergave met pagina beginnen:

Download "Combinatorisch tegenover sequentieel"

Transcriptie

1 PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand van de uitgang kan op elk ogenblik éénduidig bepaald worden uitgangstoestand is onafhankelijk van de volgorde waarin de combinaties worden afgelopen sequentiële schakelingen gebruiken elementen met geheugenwerking er zal steeds een terugkoppeling aanwezig zijn van de uitgang naar de eigen ingang wàt er op een bepaald ogenblik op de uitgang zal verschijnen hangt af van wat er op dit ogenblik op de ingangen aanwezig is EN VAN WAT E VOOHEEN GEBEUD I (sequentie = volgorde) typisch voorbeeld: digitale teller basisbouwsteen: flipflop DIGITALE ELEKTONICA PBa-ELO/ICT Vergrendelingsschakeling Eerste type geheugenschakeling: vergrendelingsschakeling Voorbeeld: drukknop TAT voor een motorsturing A= als de drukknop is ingeduwd X= als de motor draait zodra (en zolang als) de TAT-knop wordt ingedrukt, moet de motor draaien eens als de motor draait, mogen we de TAT-knop loslaten; de motor moet echter blijven draaien (toestand is vergrendeld) dit is een eerste onthoud -schakeling algebraïsche vergelijking afleiden uit de omschrijving: de motor moet draaien als de startknop wordt ingedrukt of als de motor reeds aan het draaien is X = A + X A >= X DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5.

2 PBa ELO/ICT NO-LATCH Vervang de O door een NO gevolgd door een inverter; hierdoor blijft de schakeling functioneel gelijk NO als inverter: ingangen samennemen of één ingang aan de massa () esulterend schema: A B A+B A >= >= X GND DIGITALE ELEKTONICA PBa-ELO/ICT TAT-TOP CHAKELING Voordeel van de tweede NO-poort: stel de uitgang X is geworden na het indrukken van de TAT-knop A vervolgens mag de TAT-knop terug worden, de uitgang zal blijven als de ingang van de tweede NO nu wordt in plaats van (GND), dan zal de uitgang X hierdoor ogenblikkelijk worden (motor stopt) door de aanwezigheid van de terugkoppeling mag vervolgens deze ingang opnieuw gemaakt worden; X zal toch blijven! als ingang A de TAT-ingang is, dan is de ingang van de tweede NO de TOP-ingang esulterend schema: TAT >= >= X TOP DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5.

3 PBa ELO/ICT -LATCH LATCH = elementaire geheugencel uitgang meestal aangeduid als bijna steeds is het inverse hiervan ook aanwezig de latch is geet als = de latch is geeet als = een ingang waarlangs we de latch kunnen setten, is een ET-ingang een ingang waarlangs we de latch kunnen resetten, is een EET-ingang TAT=ET, TOP=EET, X= normale tekenwijze en IEC-symbool: EET >= ET >= DIGITALE ELEKTONICA PBa-ELO/ICT NO-LATCH Toestandentabel v = vorige toestand van V toestand vorige toestand EET ET verboden (= ) Karakteristieke tabel Als ET en EET gelijktijdig geactiveerd worden, komen we in een verboden toestand omdat beide uitgangen niet meer elkaars tegengestelde toestand aannemen. Deze toestand is ook onstabiel : als van hier wordt overgegaan naar de geheugentoestand, is het niet te voorspellen of de LATCH in de ET- of in de EET-toestand terecht komt. IEC-symbool toestand V # vorige EET ET verboden DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5.

4 PBa ELO/ICT Vergrendelingsschakeling met NAND Gelijkaardige vergrendelingsschakeling, maar nu met NAND-poorten rusttoestand: =(!!!), = NAND in terugkoppeling = NOT zodra = wordt, zal = worden via de inverter wordt een teruggekoppeld 5 VCC hierdoor mag = worden, toch zal = blijven (vergrendeling op de -ingang) Ucc-ingang kan EET-ingang worden: stel = geworden door = te maken daarna mag opnieuw worden, blijft als Ucc op ingang NAND plots wordt, komt uit de terugkoppeling een hierdoor wordt =, wat de EET-toestand is als hierna Ucc op ingang NAND terug wordt, zal = blijven Opgelet: en in rust HOOG, maar actief LAAG! DIGITALE ELEKTONICA PBa-ELO/ICT -NAND-LATCH normale tekenwijze en IEC-symbool: 5 toestandentabel: toestand # v verboden ET EET geheugen DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5.

5 PBa ELO/ICT Toepassing: ontdenderen van schakelaars +5V a W W a sluit b opent a opent b sluit t GND b t t t Dit principe wordt ondermeer toegepast bij de PULE WITCHE op de digitale trainers in het LAB DIG. DIGITALE ELEKTONICA PBa-ELO/ICT Geklokte latch C -LATCH Normaal verandert de latch van zodra er een set () of een reset () toekomt We voegen nu vooraan twee NANDpoorten toe die de - en -signalen maar doorlaten als er een signaal aanwezig : als = komt er een uit beide NANDs en blijft de -latch in zijn geheugentoestand als = werken de NANDs als inverter: we kunnen setten met = of resetten met = verboden toestand: = én == De veranderingen gebeuren nu dus alleen als de klok actief (hoog) is IEC-symbool ingangen actief HOOG afhankelijkheidsnotatie met volgnummer DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5 5.

6 PBa ELO/ICT PEET en CLEA CLEA = asynchrone EET PEET = asynchrone ET asynchroon werken onafhankelijk van de klok PIOITEITINGANGEN hebben voorrangswerking op de gewone (geklokte) ingangen» CLEA = onvoorwaardelijk maken» PEET = onvoorwaardelijk maken verboden toestand als beide gelijktijdig actief zijn worden in IEC-symbool aangeduid met (voor CLEA) en (voor PEET), maar NIET voorafgegaan door volgnummer (werken ONafhankelijk van de klok) C DIGITALE ELEKTONICA PBa-ELO/ICT D-latch Nadeel -latch als geheugenelement: twee verschillende toegangslijnen om toch slechts één bit op te slaan mogelijke verboden toestand Oplossing: slechts één DATA-ingang gebruiken: deze D-ingang wordt rechtstreeks verbonden met de et () de inverse van de D-ingang is de eset () D= =, = = 9 D 8 D= =, = = Transparante latch: zolang = =D 5 uitgang volgt ingang zodra = wordt laatste toestand blijft bewaard IEC-symbool D geklokte -latch C DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5.

7 PBa ELO/ICT JK-latch Andere methode om de verboden toestand van de latch te elimineren: maak gebruik van de uitgang(en) van de latch zelf om ervoor te zorgen dat en van de eigenlijke -latch nooit gelijktijdig zijn als = kan er alleen geeet worden, als = kan er geet worden J K de nieuwe -ingang wordt aangeduid met J, de nieuwe met K als J=K= zal de vorige uitgangstoestand telkens inverteren = TOGGLE men spreekt van een JK-latch DIGITALE ELEKTONICA PBa-ELO/ICT JK-latch met asynchrone preset en clear Toevoegen van clock, preset en clear P J K J C K CL Kan in de praktijk niet op deze manier voorkomen: racing verschijnsel uitgang blijft omkippen Oplossing: master-slave principe DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 7 5.

8 PBa ELO/ICT Master-slave JK-FLIPFLOP P J C K CL MATE ample ingangen als de klok hoog is LAVE Geef door naar de uitgangen als de klok laag is acing effect wordt voorkomen omdat de de terugkoppeling van van de de gewijzigde uitgangen naar naar de de ingangen pas pas gebeurt als als de de ingangsklok niet niet meer actief is! is! DIGITALE ELEKTONICA PBa-ELO/ICT Master-slave JK-FF = PUL-gestuurd element Timing-diagram IEC-symbool WHT J,K, t s stabiel t h t p J C K INPUT OUTPUT J K L L H L H L L H L H H H etup time (t s ) Minimum tijd dat de ingangen stabiel moeten blijven voor de stijgende klokflank Hold time (t h ) Minimum tijd dat de ingangen stabiel moeten blijven na de dalende klokflank = uitstelsymbool De wijziging van de uitgangen wordt UITGETELD tot het moment waarop de klok terugkeert naar haar niet-actieve toestand. Zolang de klok actief is (+t s +t h ), moeten de ingangen stabiel blijven. DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 8 5.

9 PBa ELO/ICT FLANK-triggering Bij alle voorheen behandelde LATCH-schakelingen was de klok steeds actief op een NIVEAU Indien de klok echter slechts actief is op een FLANK, spreken we van een FLIPFLOP i.p.v. van een LATCH Voordelen: minder kans op doorgeven van storingen ingangen moeten niet zo lang stabiel gehouden worden Twee mogelijke flanken: : stijgende flank, voorflank, rising edge : dalende flank, achterflank, falling edge IEC-symbool: dynamisch symbool + evtl. polariteitsindicator C C DIGITALE ELEKTONICA PBa-ELO/ICT Verschil tussen LATCH en FLIPFLOP 77 = D-FF D C Positive edge-triggered D-flipflop 775 = D-LATCH D C D Clk FLIPFLOP = flank-opererende klok: het ingangssignaal wordt bemonsterd op de stijgende (evtl. dalende) flank van de klok LATCH = niveau-opererende klok: het ingangssignaal wordt bemonsterd zolang de klok hoog (evtl. laag) is Timing Diagram: Level-sensitive transparant D-latch Gedrag is hetzelfde tenzij de D-ingang verandert terwijl de klok hoog is DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 9 5.

10 PBa ELO/ICT JK-FLIPFLOP 7L7 = JK-FF J C K Negative edge-triggered JK-flipflop with Clear INPUT OUTPUT CL J K L X X X L H H L L H H L H L H L H L H H H H TOGGLE H H X X 77 = JK-M-FF J C K JK master-slave FF with Clear INPUT OUTPUT CL J K L X X X L H H L L H H L H L H L H L H H H H DIGITALE ELEKTONICA PBa-ELO/ICT TOGGLE-FLIPFLOP T-FF : de uitgang complementeert (=TOGGLE) bij èlke klokpuls wordt niet als apart IC-type gemaakt, maar afgeleid uit bestaande types: + JK-(M-)FF J C K f = f -deler D-FF D C C DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5.

11 PBa ELO/ICT OPGAVE A B C +5V D J C C C K CL Gegeven het schema van bovenstaande schakeling. tel het timing-diagram van de gegeven schakeling op met als begintoestand A B C = (na een laag-gaande puls op de CLlijn). Daarna komen er tien klokpulsen op de -lijn. DIGITALE ELEKTONICA PBa-ELO/ICT OPLOING CL A B C DIGITALE ELEKTONICA PBa-ELO/ICT Digitale Elektronica 5.

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen: EGITE Een groep van flipflops om data te stockeren bufferregisters: om gegevens tijdelijk op te slaan schuifregisters: de inhoud verschuift doorheen de flipflops ynchrone schakeling Kan opgebouwd worden

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

Een flipflop is een digitale schakeling die in staat is een logische "1" of een logische "0" op te slaan en te bewaren in de tijd.

Een flipflop is een digitale schakeling die in staat is een logische 1 of een logische 0 op te slaan en te bewaren in de tijd. 1 FLIPFLOPS In dit hoofdstuk bestuderen we de verschillende soorten flipflops. De kennis hiervan is noodzakelijk om achteraf een goed inzicht te verwerven in de werking en toepassing van meer complexe

Nadere informatie

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23 469 Appendix symbolen door prof. ir. C.H. Eversdijk & ir. A.P. Thijssen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 C-afhankelijkheid 471 A.19 Symbolen voor latches

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops)

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) PBa ELO/ICT Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) bewaren het aantal getelde pulsen (d.i. de stand van de teller) Opdeling:

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde Alles op de kop Johan Smilde Dobbelsteen D02i werkt precies andersom! Deze dobbelsteen heeft omgekeerde uitgangen ten opzichte van de vorige. Dat wil zeggen dat de uitgangen hier niet actief hoog zijn

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

Hfdst. 2: COMBINATORISCH PROGRAMMEREN

Hfdst. 2: COMBINATORISCH PROGRAMMEREN 2.1. Basisinstructies: 2.1.1. Ja-functie: Indien je een normaal open schakelaar bedient, moet de lamp oplichten. Waarheidstabel: Booleaanse schrijfwijze: Q0.0 = I0.0 2.1.2. Niet-functie: Waarheidstabel:

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college EE4: igitale Systemen BSc. EE, e jaar, 22-23, 4e college Arjan van Genderen, Stephan Wong, Computer Engineering 2-2-23 elft University of Technology Challenge the future Mededelingen Volgende week tijdens

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/214-215 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Hfdst. 2: COMBINATORISCHE LOGICA

Hfdst. 2: COMBINATORISCHE LOGICA Hfdst. 2: COMBINATOISCHE LOGICA DEEL 2: Prioriteitsregels: 2.3.1. Het begrip stack : Duid een groep geheugenplaatsen aan die door de CPU wordt gebruikt om het programma te verwerken. Bij het gebruik van

Nadere informatie

Fysische Informatica met FLEC

Fysische Informatica met FLEC Fysische Informatica met FLEC Inleiding De werking van de schakelingen die je gemaakt hebt bij het onderwerp fysische informatica kunnen op 2 manieren gecontroleerd worden. De eerste manier is met behulp

Nadere informatie

Multiplexers en demultiplexers MULTIPLEXERS

Multiplexers en demultiplexers MULTIPLEXERS Pa EO/ICT Kim - dep. IWT Multiplexers en demultiplexers MU transmissie DEMU merikaans symbool multiplexer merikaans symbool demultiplexer ingangen uitgang ingang uitgangen controle controle MU/DEMU DIGITE

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Samenvatting Computer Architectuur 2006-2007

Samenvatting Computer Architectuur 2006-2007 Sequentiële logica Wat is sequentiële logica We noemen dit ook wel final state machine. Het neemt een ingang en een huidige toestand en vertaalt die via een combinatorische functie in een uitgang en een

Nadere informatie

Fig. 5.1: Blokschema van de 555

Fig. 5.1: Blokschema van de 555 5 Timer IC 555 In de vorige drie hoofdstukken hebben we respectievelijk de Schmitt-trigger, de monostabiele en de astabiele multivibrator bestudeerd. Voor ieder van deze schakelingen bestaan in de verschillende

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

14 Oefeningen. 14.1 Basisinstructies

14 Oefeningen. 14.1 Basisinstructies nleiding in de PLC 14 Oefeningen 14.1 Basisinstructies 1. Aan ingang 124.0 sluiten we een NO drukknop (S1) aan, op 124.1 een NC (S2). Maak nu een programma zodanig dat 124.0 hoog is als we drukknop S1

Nadere informatie

12. GEÏNTEGREERDE SCHAKELINGEN

12. GEÏNTEGREERDE SCHAKELINGEN 2-2. GEÏNTEGEEDE SCHAKELINGEN Tot nog toe hebben we in schakelingen met versterkende elementen en dergelijke steeds enkelvoudige elementen toegepast, dat wil zeggen, FET s, transistoren en buizen. Er is

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files 1 Introductie In deze oefening zal je je LCD display leren aansturen. Je controleert deze display door er instructies naar te

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Fig. 6.1 voorstelling van de werking van een schuifregister

Fig. 6.1 voorstelling van de werking van een schuifregister 6 Registers In digitale systemen moeten we dikwijls gedurende een zekere tijd een bepaalde binaire informatie of codewoord kunnen opslaan en onthouden. Zo een digitale schakeling noemen we een "REGISTER".

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1 9 9 1. 2 4 0 VANTEK Discovery set N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. 1 Inhoudsopgave Binair rekenen Pulse en Countermodule blz. 3 Informatieverwerking Input en outputmodules blz.

Nadere informatie

Basisoefeningen en uitwerkingen Systeembord. Opgaven en antwoorden op It s learning en agtijmensen.nl

Basisoefeningen en uitwerkingen Systeembord. Opgaven en antwoorden op It s learning en agtijmensen.nl Opgaven en antwoorden op It s learning en agtijmensen.nl Opgave 1. Een spanning van V noemen we ook hoog of 1. Een spanning van 0 V noemen we laag of 0. In de schakeling van figuur 1 wordt op de punten

Nadere informatie

Analoge en Digitale Elektronica

Analoge en Digitale Elektronica Analoge en Digitale Elektronica 14 september 2007 1 2 de zit 2006-2007 Bespreek het potentiaalverloop en de stroomcomponenten doorheen een PN junctie in ongepolariseerde toestand, bij voorwaartse polarisatie,

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

Om een PLC te kunnen programmeren is het belangrijk te weten hoe de PLC het programma verwerkt. (Zie 2.4 blz. 35-)

Om een PLC te kunnen programmeren is het belangrijk te weten hoe de PLC het programma verwerkt. (Zie 2.4 blz. 35-) Vervolg 3 PLC programmering Om een PLC te kunnen programmeren is het belangrijk te weten hoe de PLC het programma verwerkt. (Zie 2.4 blz. 35-) 3.1 Programma verwerking samengevat 3.1.1 PLC-cyclus 1. De

Nadere informatie

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Getallenrepresenta*e. Processen en Processoren 7 februari 2012 Getallenrepresenta*e Processen en Processoren 7 februari 2012 Vrijwilligers voor dinsdagmiddag werkcollege ca. 17 studenten dinsdagmiddag 15.45, ca. 33 studenten woensdagochtend 10.45 bonusregeling Als

Nadere informatie

Logische schakelingen

Logische schakelingen Logische schakelingen Logische schakelingen Stel: we maken een schakeling met twee schakelaars en één lamp. Dan kunnen we dat op de volgende manieren doen: We maken een serieschakeling van de twee schakelaars:

Nadere informatie

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen Samenvatting door A. 1243 woorden 12 april 2013 7,6 12 keer beoordeeld Vak Natuurkunde Natuurkunde Systemen Systemen We onderscheiden 3 soorten gegevensverwerkende systemen: meetsysteem: meet een grootheid

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

9 Tijdsfuncties. 9.1 Voorstelling tijden

9 Tijdsfuncties. 9.1 Voorstelling tijden 9 Tijdsfuncties 9.1 Voorstelling tijden Een speciaal gedeelte van het geheugen van de CPU is gereserveerd voor timers. In dit geheugengedeelte is er voor iedere timer een 16-bit woord gereserveerd. Het

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

AN0021-NL. Een trigger- en actieregel maken. Overzicht. Een Trigger- en actieregel creëren

AN0021-NL. Een trigger- en actieregel maken. Overzicht. Een Trigger- en actieregel creëren Een trigger- en actieregel maken Overzicht Trigger en Actie regels zijn een eenvoudige manier voor het creëren van aangepaste en unieke functionaliteiten in Paxton10. Specifieke regels kunnen worden gecreëerd

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

Inhoudsopgave. Pag. Tot slot 33 Onderdelen voor aanvulling 34

Inhoudsopgave. Pag. Tot slot 33 Onderdelen voor aanvulling 34 Logic 1-3- Colofon Auteur: Eindredactie: Thijs A. Afman Joost van den Brink Dit is een uitgave van Brink Techniek 2005. Deze uitgave mag vrij worden gekopieerd binnen educatieve instellingen. Deze uitgave

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Uitwerkingen vorige opgavenserie Behandelen oefenopgaven

Nadere informatie

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Na de geziene leerstof zijn we stilaan in staat om praktisch toepasbare digitale schakelingen de ontwerpen en te realiseren. ij

Nadere informatie

OEFENINGEN. in de cursus 'PLC'

OEFENINGEN. in de cursus 'PLC' OEFENINGEN in de cursus 'PLC' Oefenen basisprincipes Oefenbord van SMC - elektropneumatica. pag. 2 0. Elektrisch schema van een PLC Theorie: - type netten en beveiligingen in schakelkasten - elektropneumatische

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie

Principes voor het besturen van een dubbelwerkende cilinder 19

Principes voor het besturen van een dubbelwerkende cilinder 19 Principes voor het besturen van een dubbelwerkende cilinder 19 4. Principe voor het besturen van een dubbelwerkende cilinder. 4.1. Besturing met een bistabiel hoofdstuurventiel. 4.1.1. Pneumatische besturing.

Nadere informatie

11 Programmeren van elektrische schakelingen

11 Programmeren van elektrische schakelingen 11 Programmeren van elektrische schakelingen 11.1 Gebruik van hulpcontactoren In elektrische schakelingen wordt geregeld gebruik gemaakt van hulpcontactoren. Als contactoren of schakelaars te weinig vrije

Nadere informatie

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur. Technische Universiteit Eindhoven Faculteit Elektrotechniek EXAMENONDEDEEL ELEKTONISHE INSTUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot 2.00 uur. Het gebruik van het collegedictaat Elektronische

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

HOOFDSTUK 6: Logische Schakelingen

HOOFDSTUK 6: Logische Schakelingen HOOFDSTUK 6: Logische Schakelingen 1. Inleiding combinatorisch vs. sequentieel gedrag gedrag v/e circuit = relatie tussen binaire waarden uit uitgangen en binaire waarden op ingangen combinatorisch gedrag

Nadere informatie

Proef Natuurkunde Practica hoofdstuk 3

Proef Natuurkunde Practica hoofdstuk 3 Proef Natuurkunde Practica hoofdstuk 3 Proef door een scholier 1045 woorden 9 februari 2009 5,9 13 keer beoordeeld Vak Methode Natuurkunde Natuurkunde overal Verslag over proef 3.1 A. Hoe ziet de ijkkromme

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Logische bit-instructies

Logische bit-instructies Logische bit-instructies I. I. (MCRA) I. (MCR

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Vervolg. Eerste blad niet afdrukken. Document eindigen op een even pagina.

Vervolg. Eerste blad niet afdrukken. Document eindigen op een even pagina. Vervolg Eerste blad niet afdrukken. Document eindigen op een even pagina. Versie: zondag 4 november 2007 4 Functie diagram. 4.1 Combinatorisch versus sequentieel Automatische besturingen al dan niet met

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 17 2 Elementaire bewerkingen In dit hoofdstuk leer je hoe werken met binaire getallen en hexadecimale getallen omgezet wordt naar een decimaal getal en omgekeerd. Vervolgens

Nadere informatie

Fig. 2. Fig. 1 5 4,5 4 3,5 3 2,5 2 1,5 U (V) 0,5. -20 0 20 40 60 80 100 temperatuur ( C)

Fig. 2. Fig. 1 5 4,5 4 3,5 3 2,5 2 1,5 U (V) 0,5. -20 0 20 40 60 80 100 temperatuur ( C) Deze opgaven en uitwerkingen vind je op https://www.itslearning.com en op www.agtijmensen.nl Wat je moet weten en kunnen gebruiken: Zie het boekje Systeembord.. Eigenschappen van de invoer-elementen (sensor,

Nadere informatie

Inductiemeter via de parallelle poort

Inductiemeter via de parallelle poort K.T.A.1-Gent "De Lindenlei" Lindenlei 38 9000 Gent Tel: 09.225.33.04 en 09.225.43.42 Fax: 09.225.52.88 Geïntegreerde proef Inductiemeter via de parallelle poort Naam: Michaël Clinckspoor Richting: Industriële

Nadere informatie

Hoofdstuk 6: Digitale signalen

Hoofdstuk 6: Digitale signalen Hoofdstuk 6: Digitale signalen 6. Algemeenheden Het decimale talstelsel is het meest gebruikte talstelsel om getallen voor te stellen. Hierin worden symbolen gebruikt ( t.e.m. 9 ) die ondubbelzinning de

Nadere informatie

Een elektrische waterkoker kan in korte tijd water aan de kook brengen.

Een elektrische waterkoker kan in korte tijd water aan de kook brengen. . Deze opaven en uitwerkingen vind je op www.agtijmensen.nl Opgave 1 Elektrische waterkoker Een elektrische waterkoker kan in korte tijd water aan de kook brengen. De waterkoker slaat automatisch af als

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

VMB1BLS 1-kanaals rolluiksturing voor universele montage. Handleiding

VMB1BLS 1-kanaals rolluiksturing voor universele montage. Handleiding VMB1BLS 1-kanaals rolluiksturing voor universele montage Handleiding Inhoud 1. Beschrijving... 3 2. Onderdelen... 3 3. Aansluitschema s... 2 3.1. In een Velbus domotica-installatie... 2 3.2. Standalone...

Nadere informatie

Antwoorden Systeembord 25012010. Fysische informatica voor de onderbouw havo/vwo

Antwoorden Systeembord 25012010. Fysische informatica voor de onderbouw havo/vwo Fysische informatica voor de onderbouw havo/vwo 1 Inhoud: Antwoorden Systeembord 25012010 2. De invoer- en uitvoercomponenten...3 2.1 De drukschakelaar....3 2.2 Geluidsensor...3 2.3 Variabele spanning....3

Nadere informatie

Hfdst. 3: Functiediagram volgens IEC848

Hfdst. 3: Functiediagram volgens IEC848 3.1. Soorten sturingen: 2 soorten sturingen: Combinatorische sturingen: De uitgangen reageren in functie van de ingangen. Dergelijke schakelingen worden nooit in een functiediagram gezet. Voorbeeld: niveau

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 19 2 Elementaire bewerkingen 1 BINAIRE GETALLEN In het vorige hoofdstuk heb je gezien dat rijen bits worden gebruikt om lettertekens, getallen, kleuren, geluid en video

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

FLEXESS AQUA CODETABLEAU EN PASLEZER TC-CS200 CS VERGRENDELINGEN. t f MODELLEN CS200 SPECIFICATIES

FLEXESS AQUA CODETABLEAU EN PASLEZER TC-CS200 CS VERGRENDELINGEN. t f MODELLEN CS200 SPECIFICATIES MODELLEN CS200 SPECIFICATIES Voltage 12V AC/DC Stroomafname 35mA Relais uitgang maximaal 1 Amp. schakelen Bel uitgang maximaal 1 Amp. schakelen Leesafstand max. 40 mm Frequentie lezer 13,56MhZ voor Mifare

Nadere informatie

Gebruikershandleiding 2015 1

Gebruikershandleiding 2015 1 Gebruikershandleiding 2015 1 1. Bouw S-tablet 1.1 De 3 delen van de informatieverwerking: Invoer: 2 vaste invoerorganen: drukknop en schuifschakelaar 2 aansluitmogelijkheden: extra invoerorganen Impulsgenerator:

Nadere informatie

HANDLEIDING MEMOKEY 100C

HANDLEIDING MEMOKEY 100C 1 HANDLEIDING MEMOKEY 100C 1 lksdj WOORD VOORAF BIJ PROGRAMMATIE! Het aangeraden, eens het systeem is geïnstalleerd, de code lengte te bepalen en de MASTER en TRADE code te wijzigen. Nadien kunnen andere

Nadere informatie

Gebruiksaanwijzing Beveiligd Automatisch Multi-Alarm

Gebruiksaanwijzing Beveiligd Automatisch Multi-Alarm Gebruiksaanwijzing Beveiligd Automatisch Multi-Alarm INGEBRUIKNAME Plaats de 4 AA batterijen op de juiste wijze in het compartiment Om de carrousel te openen, drukt u rustig met uw duim het voorste lipje

Nadere informatie

Fototest aansluiting. Besturing aansluiting MATRIX BULLSC/15 SC. Encoder aansluiting. Uitgang aansluiting SCA of tuinverlichting.

Fototest aansluiting. Besturing aansluiting MATRIX BULLSC/15 SC. Encoder aansluiting. Uitgang aansluiting SCA of tuinverlichting. MATRIC CP BULL De besturing MATRIX CP BULL heeft volgende karakteristieken. Aansturen van 1 aandrijving in 230Vac met max. vermogen van 1000 Watt Algemene voorschriften Voer de installatie uit volgens

Nadere informatie

digitale meettechniek J.P.GOEMAERE

digitale meettechniek J.P.GOEMAERE Vak: docent: digitale meettechniek J.P.GOEMAERE Opleidingsonderdeel : Digitale meetinstrumenten en DSP O.O.V: J.P.GOEMAERE 1 Opleidingsonderdeel : digitale meettechnieken en DSP Vak: digitale meettechniek

Nadere informatie

b Geluid waarnemen, meten en omzetten in elektrische spanning.

b Geluid waarnemen, meten en omzetten in elektrische spanning. Uitwerkingen opgaven hoofdstuk 1 1.1 Automaten Opgave 1 Opgave 2 Opgave 3 In een robot is de elektrische bedrading te vergelijken met de zenuwen. Het zenuwstelsel kun je dan vergelijken met de printplaten.

Nadere informatie

Bedrade afstandbediening Introductie van het spare part. Knoppen en display van de afstandbediening.

Bedrade afstandbediening Introductie van het spare part. Knoppen en display van de afstandbediening. Bedrade afstandbediening Introductie van het spare part. Knoppen en display van de afstandbediening. Wordt gebruikt om unit te starten en te stoppen. Let Op!!: Bij alleen koeling units is warmte mode niet

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Hfdst. 4: PLC-sturingen ontwerpen gebaseerd op het functiediagram

Hfdst. 4: PLC-sturingen ontwerpen gebaseerd op het functiediagram 4.1. Basisstructuren: 6 mogelijke sequenties: 1/ Enkelvoudige of lineaire sequentie; 2/ Meervoudige sequentie met keuze; 3/ Meervoudige sequentie met exclusieve keuze; 4/ Meervoudige sequentie met sprong;

Nadere informatie

Hoofdstuk 2 Elektronische Systemen en Instrumentatie

Hoofdstuk 2 Elektronische Systemen en Instrumentatie Hoofdstuk 2 Elektronische Systemen en Instrumentatie Hanne Thienpondt Gebaseerd op de PowerPoint van Prof. Dr. ir. Jan Doutreloigne H2: Analyse en synthese van elektronische schakelingen Analyse van analoge

Nadere informatie

Slimme schakelingen (2)

Slimme schakelingen (2) Slimme schakelingen (2) Technische informatica in de zorg Thijs Harleman Modulecode: TMGZ-AMAL23 23 februari 2015 1 Overzicht college Doel van dit college: Verdiepen van kennis en inzicht van het ontwerpen

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Dobbelsteen 6 Tabellendemo: alle opgedane ervaringen gebundeld

Dobbelsteen 6 Tabellendemo: alle opgedane ervaringen gebundeld Dobbelsteen 6 Tabellendemo: alle opgedane ervaringen gebundeld Johan Smilde Zo nu en dan moet je even een pas op de plaats maken: hoever zijn we inmiddels gekomen en wat hebben we ervan geleerd? Bij dit

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Dossier Pneumatische Schakellogica

Dossier Pneumatische Schakellogica Dossier Pneumatische Schakellogica Elke pneumatische sturing is volgens een bepaalde logica opgebouwd. Deze logica bepaalt de werking van de schakeling. In dit dossier bespreken we de verschillende pneumatische

Nadere informatie

Eindexamen natuurkunde 1-2 compex vwo I

Eindexamen natuurkunde 1-2 compex vwo I Eindexamen natuurkunde -2 compex vo 2009 - I Beoordelingsmodel Opgave Mondharmonica maximumscore 3 voorbeeld van een antoord: In figuur 3 zijn minder trillingen te zien dan in figuur 2. De frequentie in

Nadere informatie

SECUSK2EM CODEKLAVIER HANDLEIDING

SECUSK2EM CODEKLAVIER HANDLEIDING SECUSK2EM CODEKLAVIER 1 RELAIS/1000 GEBRUIKERS, MET BADGELEZER Waterdicht autonoom klavier IP66, behuizing ABS, zwart. Drukknoppen met witte LED achtergrondverlichting. Incl. proximity lezer EM 125 KHz,

Nadere informatie