REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:

Maat: px
Weergave met pagina beginnen:

Download "REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:"

Transcriptie

1 EGITE Een groep van flipflops om data te stockeren bufferregisters: om gegevens tijdelijk op te slaan schuifregisters: de inhoud verschuift doorheen de flipflops ynchrone schakeling Kan opgebouwd worden met D-FF, JK-FF, (-FF) basistypes parallel in - parallel uit parallel in - serie uit serie in - parallel uit serie in - serie uit egisters DIGITALE ELEKTONICA PBa-ELO/ICT parallel in - parallel uit bufferregister De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen: clock enable D D D C D clear» bepaalt wanneer er mag geladen worden D C D» wist alle data output enable» bepaalt wanneer de output beschikbaar is; anders tri-state D C D C egisters DIGITALE ELEKTONICA PBa-ELO/ICT

2 ymbolen voor bufferregisters Klok klok enable clear output enable zijn gemeenschappelijk en komen dus in het gemeenschappelijk controleblok. Voorbeelden van TTL-IC s met klok en Clear in het gemeenschappelijke controleblok C D C D C D Voorbeeld van een register met de en de uitgang. egisters DIGITALE ELEKTONICA PBa-ELO/ICT (output) enable en tristate Enable = laag» data op de uitgang aanwezig Enable = hoog» uitgang in tristate Enable heeft enkel invloed op de sturing van de uitgangen en heeft geen enkele invloed op de interne werking EN D C EN stuurt de tri-state uitgangen EN D C L L Transparante latch Edge triggered FF egisters DIGITALE ELEKTONICA PBa-ELO/ICT

3 Tri-state uitgang met Enable ingang normale TTL-uitgang = TOTEMPOLE structuur» uitgangen mogen NIET met elkaar verbonden worden speciale TTL-uitgangsstructuren: open collector uitgang -state uitgang» als verschillende uitgangen BEUTELING met dezelfde signaallijn moeten verbonden kunnen worden - bv. BU-structuur µp» uitgang kan verschillende toestanden aannemen: L, H of Hi-Z» Hi-Z = hoog-impedant : in dit geval is de uitgang als het ware losgekoppeld van de signaallijn» ENABLE-ingang zet de uitgang in ENABLE (geeft dan H of L uit) of in DIABLE (Hi-Z, losgekoppeld) EN EN egisters IEC-symbool DIGITALE ELEKTONICA PBa-ELO/ICT chmitt-trigger Om ingangen minder gevoelig te maken voor storingen kunnen we een hysteresis voorzien. Deze hysteresis wordt bekomen aan de hand van een chmitttrigger schakeling chmitt-trigger symbool U uit U uit hysteresis-lus,v U in U TH+ =,V U TH- =,V U in gewone karakteristiek chmitt-trigger karakteristiek egisters DIGITALE ELEKTONICA PBa-ELO/ICT

4 Load ingang (clock enable) Het is niet altijd nodig (of wenselijk) dat bij elke klokcyclus nieuwe data in het geheugen weggeschreven worden. Load of clock enable zal bepalen wanneer er data opgeslagen wordt. Kan door and tussen load en klok» geeft vertraging op de klok» gated clock» deugt eigenlijk niet! Kan door multiplexer op de data ingang» snellere en betere oplossing» blijft volledig synchroon DATA klok in LOAD LOAD G MUX D klok naar register C egisters DIGITALE ELEKTONICA PBa-ELO/ICT Voorbeelden LOAD ingangen ENABLE G G C C C D D EN D L L L egisters DIGITALE ELEKTONICA PBa-ELO/ICT

5 Bidirectionele buffer (transceiver) Bestaat uit tristate buffers die antiparallel geschakeld zijn Eén register is hoog-impedant en de andere is actief Controle-signaal bepaalt de richting van de data Vooral bruikbaar in micro-processor gerichte toepassingen Transceiver = transmitter + receiver G EN EN L Merk op: Bidirectionele buffers zijn alleen maar (stroom)buffers! Ze hebben geen onthoudfunctie, het zijn geen geheugenschakelingen en dus ook geen registers! egisters DIGITALE ELEKTONICA PBa-ELO/ICT erie in - parallel uit (IPO) schuifregister Uitvoering met D-flipflops kan ook met JK- of -FF die geschakeld zijn als D-FF laatste bit gaat verloren Toepassingen in vermenigvuldigers communicatie (serieel naar parallel omzetting) A B C D D IN D D D D C C C C egisters DIGITALE ELEKTONICA PBa-ELO/ICT

6 Praktische uitvoering : IC L Ingang is ontdubbeld Clear is voorzien VCC H G F E CLEA A B A B C D GND EIAL INPUT CLEA EIAL INPUT A B CL CL CL CL CL CL CL CL A B C D E F G H egisters DIGITALE ELEKTONICA PBa-ELO/ICT ymbool en timing L G U CC = C/ GND= EIAL CLEA A D INPUT B A B C D E F G H L CLEA CLEA egisters DIGITALE ELEKTONICA PBa-ELO/ICT

7 erie-parallel in - serie-parallel uit schuifregister : L C/ G U CC = GND= PEET ENABLE (LOAD) PEET A A PEET B B PEET C C PEET D D PEET E E G D EIAL INPUT CLEA PE CL PE CL PE CL PE CL PE CL L LOAD altijd laten voorafgaan door CLEA (parallelle ingangen zijn geen DATA-ingangen, maar PEET-ingangen) egisters DIGITALE ELEKTONICA PBa-ELO/ICT erie in - serie uit schuifregister U CC = G GND= D C/ L A B EIAL INPUT H H egisters DIGITALE ELEKTONICA PBa-ELO/ICT

8 Bidirectioneel schuifregister G U CC = CL I M C/ /,D,D GND= mode werking M M M M no operation shift right shift left sync.paral.load PAALLEL INPUT,D,D IL,D,D L egisters DIGITALE ELEKTONICA PBa-ELO/ICT Bidirectioneel schuifregister () HIFT IGHT EIAL INPUT A () () PAALLEL INPUT B () C () HIFT LEFT D EIAL INPUT () () () CLEA () () CL CL CL CL A() B () C() D () egisters PAALLEL DIGITALE ELEKTONICA PBa-ELO/ICT

9 ingteller A B C D A B C D A B C D D D D D C C C C egisters DIGITALE ELEKTONICA PBa-ELO/ICT Gekruiste ringteller of Johnsonteller A B C D = A B C D A B C D J J J J C C C C K K K K egisters DIGITALE ELEKTONICA PBa-ELO/ICT

10 Johnsonteller HC ( deler) () EN () () CL () Y () Y () Y () Y Trukkendoos voor verboden toestanden () Y () Y () Y () Y () Y () Y () CO egisters DIGITALE ELEKTONICA PBa-ELO/ICT Johnsonteller HC ( deler) CLEA ENABLE Y Y Y Y Y Y Y Y Y Y CAY CLEA COUNT COUNT EN CL CTDIV/ DEC + CT= CT< HC Y Y Y Y Y Y Y Y Y Y CAY INHIBIT egisters DIGITALE ELEKTONICA PBa-ELO/ICT

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

Inleiding Digitale Techniek. Week 7 Schuifregisters Jesse op den Brouw INLDIG/2013-2014

Inleiding Digitale Techniek. Week 7 Schuifregisters Jesse op den Brouw INLDIG/2013-2014 Inleiding Digitale Techniek Week 7 Schuifregisters Jesse op den Brouw INLDIG/213-214 Schuifregisters In de digitale techniek en met name in de digitale communicatie wordt veel gebruik gemaakt van seriële

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops)

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) PBa ELO/ICT Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops) bewaren het aantal getelde pulsen (d.i. de stand van de teller) Opdeling:

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Fig. 6.1 voorstelling van de werking van een schuifregister

Fig. 6.1 voorstelling van de werking van een schuifregister 6 Registers In digitale systemen moeten we dikwijls gedurende een zekere tijd een bepaalde binaire informatie of codewoord kunnen opslaan en onthouden. Zo een digitale schakeling noemen we een "REGISTER".

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave):

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): D is de normale data ingang C is de normale fase 1 klok I is de data ingang van het shift-regiester A is de klok

Nadere informatie

Multiplexers en demultiplexers MULTIPLEXERS

Multiplexers en demultiplexers MULTIPLEXERS Pa EO/ICT Kim - dep. IWT Multiplexers en demultiplexers MU transmissie DEMU merikaans symbool multiplexer merikaans symbool demultiplexer ingangen uitgang ingang uitgangen controle controle MU/DEMU DIGITE

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

Hoofdstuk 7. Computerarchitectuur

Hoofdstuk 7. Computerarchitectuur Hoofdstuk 7 Computerarchitectuur 1 controlebus CPU MEMORY I/O databus adresbus Figuur 71 Schematische opbouw van een computersysteem 8 Figuur 72 Een busverbinding Buslijn Out E A In Out E B In Out E C

Nadere informatie

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen Jan Genoe KHLim Situering RAM-geheugens Geheugens Halfgeleider Geheugens Serieel toegankelijk geheugen Willekeurig toegankelijk geheugen Read Only Memory ROM Random Access Memory RAM Statische RAM SRAM

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

Ontwerp van digitale systemen. in VHDL

Ontwerp van digitale systemen. in VHDL Ontwerp van digitale systemen in VHDL Luc Friant Inhoud - 1 - Inhoud - 2 - Inhoud Voorwoord 1. Hoofdstuk 1 Algemene structuur in VHDL 2. Hoofdstuk 2 De beschrijving van sequentiële logica in VHDL 3. Hoofdstuk

Nadere informatie

Oefeningen Digitale Elektronica (I), deel 4

Oefeningen Digitale Elektronica (I), deel 4 Oefeningen Digitale Elektronica (I), deel 4 Oefeningen op min en maxtermen, decoders, demultiplexers en multiplexers (hoofdstuk 3, 3.6 3.7) Wat moet ik kunnen na deze oefeningen? Ik kan de minterm en maxtermrealisatie

Nadere informatie

BESPREKING VAN DE COMPUTERPOORTEN...

BESPREKING VAN DE COMPUTERPOORTEN... Inhoudstabel 1 VOORWOORD... 1 2 BESPREKING VAN DE COMPUTERPOORTEN... 2 2.1 INLEIDING...2 2.2 SERIËLE POORT...2 2.3 PARALLELLE POORT...5 2.3.1 Het verschil tussen een seriële poort en een parallelle poort...

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 4 Datapadsystemen Jesse op den Brouw DIGSE2/2016-2017 Complexe systemen In principe kan elk sequentiëel systeem beschreven worden met een toestandsdiagram. In de praktijk

Nadere informatie

Een flipflop is een digitale schakeling die in staat is een logische "1" of een logische "0" op te slaan en te bewaren in de tijd.

Een flipflop is een digitale schakeling die in staat is een logische 1 of een logische 0 op te slaan en te bewaren in de tijd. 1 FLIPFLOPS In dit hoofdstuk bestuderen we de verschillende soorten flipflops. De kennis hiervan is noodzakelijk om achteraf een goed inzicht te verwerven in de werking en toepassing van meer complexe

Nadere informatie

Inductiemeter via de parallelle poort

Inductiemeter via de parallelle poort K.T.A.1-Gent "De Lindenlei" Lindenlei 38 9000 Gent Tel: 09.225.33.04 en 09.225.43.42 Fax: 09.225.52.88 Geïntegreerde proef Inductiemeter via de parallelle poort Naam: Michaël Clinckspoor Richting: Industriële

Nadere informatie

Module nummer Elke speciale module krijgt een eigen module nummer om de betreffende module in te stellen en uit te lezen. Ethernet module FX3u-ENET

Module nummer Elke speciale module krijgt een eigen module nummer om de betreffende module in te stellen en uit te lezen. Ethernet module FX3u-ENET Datum : 3 november 2009 Aangemaakt door : Matthias FAQ 3 : Instellen van analoge module FX2n-5A Input 4x Analoog in Output 1x Analoog uit Bedrading Let op! Bij stroom-input de ingang V+ en I+ doorverbinden

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Getallenrepresenta*e. Processen en Processoren 7 februari 2012 Getallenrepresenta*e Processen en Processoren 7 februari 2012 Vrijwilligers voor dinsdagmiddag werkcollege ca. 17 studenten dinsdagmiddag 15.45, ca. 33 studenten woensdagochtend 10.45 bonusregeling Als

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

Datacommunicatie ov. Seriële bussystemen SERIËLE VERBINDINGEN

Datacommunicatie ov. Seriële bussystemen SERIËLE VERBINDINGEN Datacommunicatie ov THEORIE SERIËLE VERBINDINGEN Seriële bussystemen Prof. Dr. Bernd vom Berg en Dipl.-Ing. Peter Groppe Synchrone seriële datacommunicatie tussen microcontroller en periferie is de laatste

Nadere informatie

De CB channel controller TMS1022NL/NLL en TMS1023NL/NLL. ( Dit is een maskrom-programmed version van de Texas Instruments TMS1000 family)

De CB channel controller TMS1022NL/NLL en TMS1023NL/NLL. ( Dit is een maskrom-programmed version van de Texas Instruments TMS1000 family) De CB channel controller TMS1022NL/NLL en TMS1023NL/NLL ( Dit is een maskrom-programmed version van de Texas Instruments TMS1000 family) Ik begin even met een korte inleiding over de TMS1000. ( Wil je

Nadere informatie

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Tentamen Engineering 2011/2012: Opleiding: ESE, HN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Vakcode: DSO deel 2 Lokaal: Docent: RZ antal tentamenbladen:

Nadere informatie

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23

Appendix symbolen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 A.19 A.20 A.21 A.22 A.23 469 Appendix symbolen door prof. ir. C.H. Eversdijk & ir. A.P. Thijssen Symbolen voor logische schakelingen III Symbolen voor flip-flop geheugenelementen A.18 C-afhankelijkheid 471 A.19 Symbolen voor latches

Nadere informatie

INTERACTIEVE TOUCHSCREEN MET RGB LEDS

INTERACTIEVE TOUCHSCREEN MET RGB LEDS Project-medewerkers: Pieter Sijmons, Sybe Natran, Nils Van Butsel 2011 INTERACTIEVE TOUCHSCREEN MET RGB LEDS Verslag voor het vak Vakoverschrijdende Projecten Inhoudsopgave Introductie... 2 Doelstelling...

Nadere informatie

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Computersysteem Een systeem dat rekenkundige operaties, data manipulaties en beslissingen kan uitvoeren, aan de hand

Nadere informatie

In- en uitgangssignalen van microprocessoren

In- en uitgangssignalen van microprocessoren In- en uitgangssignalen van microprocessoren E. Gernaat 1 Overzicht signalen Informatie van en naar een microprocessor kan parallel of seriëel gebeuren. Bij parallel-overdracht zal elke lijn (draad) een

Nadere informatie

Basisschakelingen en poorten in de CMOS technologie

Basisschakelingen en poorten in de CMOS technologie asisschakelingen en poorten in de CMOS technologie Jan Genoe KHLim Universitaire Campus, Gebouw -359 Diepenbeek www.khlim.be/~jgenoe In dit hoofdstuk bespreken we de basisschakelingen en poorten in de

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (3)

De Arduino-microcontroller in de motorvoertuigentechniek (3) De Arduino-microcontroller in de motorvoertuigentechniek (3) E. Gernaat (ISBN 978-90-79302-11-6) 1 In- en uitgangssignalen van microprocessoren 1.1 Overzicht signalen Informatie van en naar een microprocessor

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

De seriële poort Jan Genoe KHLIM

De seriële poort Jan Genoe KHLIM De seriële poort Jan Genoe KHLIM De seriële poort 1 De seriële poort Een PC bezit een aantal seriële poorten: COM1, COM2,... Er zijn 1 of 2 seriële poorten voorzien op het moederbord Plug-in kaarten laten

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012

De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012 De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012 Tanenbaum hoofdstuk 2 von Neumann - architectuur. Tanenbaum, Structured Computer Organiza4on, FiMh Edi4on, 2006 Pearson Educa4on,

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Inhoudsopgave Schakelen van luidsprekers

Inhoudsopgave Schakelen van luidsprekers Inhoudsopgave Inhoudsopgave...2 Inleiding...3 Vermogen...3 Impedantie...3 Serieschakeling van luidsprekers...4...4...4...4 Voorbeeld...4 Parallelschakeling van luidsprekers...4...4...4...4 Voorbeeld...5

Nadere informatie

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher.

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher. De Backer, Kris / Kenens, Liesbeth Digitale Systemen / Kris De Backer & Liesbeth Kenens; Geel: Campinia Media vzw, 2004-2de druk sept. 2005; 216 p;index; 25,5 cm; gelijmd. ISBN: 90.356.1184.5; NUGI 854;

Nadere informatie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie www.arduino.cc Arduino en DDS DDS chips DDS = Direct Digital (frequency) Synthesis Output = sinusvormig signaal Maximum frequentie = ½ klokfrequentie Frequentie bepaald door tuning word Grootste fabrikant:

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Samenvatting Computer Architectuur 2006-2007

Samenvatting Computer Architectuur 2006-2007 Sequentiële logica Wat is sequentiële logica We noemen dit ook wel final state machine. Het neemt een ingang en een huidige toestand en vertaalt die via een combinatorische functie in een uitgang en een

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

Wat is een busverbinding?

Wat is een busverbinding? Wat is een busverbinding? gemeenschappelijke verbinding tussen CPU, geheugen en I/O-schakelingen onderscheid tussen: databus/adresbus/controlbus intern/extern serieel/parallel unidirectioneel/bidirectioneel

Nadere informatie

1 graduaat Elektriciteit/elektronica KHLim - dep. IWT HALFGELEIDER-GEHEUGENS HALFGELEIDER GEHEUGENS STATISCH DYNAMISCH ROM PROM EPROM EEROM

1 graduaat Elektriciteit/elektronica KHLim - dep. IWT HALFGELEIDER-GEHEUGENS HALFGELEIDER GEHEUGENS STATISCH DYNAMISCH ROM PROM EPROM EEROM HALFGELEIDER-GEHEUGENS HALFGELEIDER GEHEUGENS WILLEKEURIG TOEGANKELIJK SERIEEL TOEGANKELIJK RAM ROM SRG CCD MBM STATISCH DYNAMISCH ROM PROM EPROM EEROM (ALLEEN-)LEES GEHEUGEN = ROM ROM = Read Only Memory:

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

Het µclab voor Arduino UNO, NANO en Arduino UNO pincompatible

Het µclab voor Arduino UNO, NANO en Arduino UNO pincompatible Het µclab voor Arduino UNO, NANO en Arduino UNO pincompatible processorbordjes. Shieldjes voor Arduino µc boards zijn nodig om praktische elektronische toepassingen met een Arduino te kunnen uitvoeren.

Nadere informatie

Halfgeleider geheugens:

Halfgeleider geheugens: HALFGELEIDER-GEHEUGENS Halfgeleider geheugens: elektronische schakelingen kunnen binaire informatie opnemen, bewaren en weergeven vaak als geheugenblok in complex digitaal systeem voorbeeld: (micro)computersysteem

Nadere informatie

Digitale interface protocols Jan Genoe KHLim

Digitale interface protocols Jan Genoe KHLim KHLim 1 Interface Standaarden IEEE Standaarden 488 GPIB 802.3 Ethernet 1194.1 BTL 1394... ANSI Standaarden TIA/EIA-232 TIA/EIA-422 TIA/EIA-485 TIA/EIA-644... Andere Standaarden SCSI USB GTL GTLP... 2 Keuzecriteria

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Hoofdstuk 10. Datacommunicatie

Hoofdstuk 10. Datacommunicatie Hoofdstuk 10 Datacommunicatie 1 a A communicatiekanaal B simplex b A B halfduplex c A B fullduplex Figuur 10.1: Vormen van datacommunicatie. communicatie- kanalen bit bit communicatie- kanaal zender serieel

Nadere informatie

Analoge ingang voor computers

Analoge ingang voor computers Analoge ingang voor computers de verbinding met buiten analoge ingang voor computers elektuur mei 1985 Scanned, ocr ed and converted to PDF by MSXHans 2001 Kommunikatie met de buitenwereld is erg belangrijk

Nadere informatie

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde Alles op de kop Johan Smilde Dobbelsteen D02i werkt precies andersom! Deze dobbelsteen heeft omgekeerde uitgangen ten opzichte van de vorige. Dat wil zeggen dat de uitgangen hier niet actief hoog zijn

Nadere informatie

FT4SW. 1. Werking. Handleiding. Figuur 1

FT4SW. 1. Werking. Handleiding. Figuur 1 Ed 1.3 Handleiding Handleiding FT4SW, ed13 2 FT4SW 1. Werking De FT4SW is een IO module stuurmodule met 4 relais die geactiveerd wordt door de deurtelefoon Wizard (NewClassic, Elegance of Elite serie).

Nadere informatie

Inhoudsopgave. Pag. Tot slot 33 Onderdelen voor aanvulling 34

Inhoudsopgave. Pag. Tot slot 33 Onderdelen voor aanvulling 34 Logic 1-3- Colofon Auteur: Eindredactie: Thijs A. Afman Joost van den Brink Dit is een uitgave van Brink Techniek 2005. Deze uitgave mag vrij worden gekopieerd binnen educatieve instellingen. Deze uitgave

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

ELECTRONICS. art.nr. typenr. omschrijving brutoprijs in fl.

ELECTRONICS. art.nr. typenr. omschrijving brutoprijs in fl. TTL standaard serie TTL groep 00.xxxx leverbaar zolang de voorraad strekt. Als alternatief gebruikt men groep 0.xxxx (serie LS). Enkele typen zijn niet of slecht leverbaar in LS; deze blijven we leveren

Nadere informatie

SECUSK2EM CODEKLAVIER HANDLEIDING

SECUSK2EM CODEKLAVIER HANDLEIDING SECUSK2EM CODEKLAVIER 1 RELAIS/1000 GEBRUIKERS, MET BADGELEZER Waterdicht autonoom klavier IP66, behuizing ABS, zwart. Drukknoppen met witte LED achtergrondverlichting. Incl. proximity lezer EM 125 KHz,

Nadere informatie

Inhoud vandaag. Interrupts. Algemeen ARM7 AIC

Inhoud vandaag. Interrupts. Algemeen ARM7 AIC Inhoud vandaag Interrupts Algemeen ARM7 AIC Interrupts Wat is een interrupt? Een interrupt is een onderbreking van de huidige bezigheden ten gevolge van een externe gebeurtenis, zodanig dat de bezigheden

Nadere informatie

Computers in de 20ste eeuw

Computers in de 20ste eeuw Technisch Instituut GLORIEUX Sint-Jozefstraat 7 9041 OOSTAKKER Computers in de 20ste eeuw Eindverslag: geïntegreerde proef Naessens Wim 6 Elektronica Begeleiders: Mevr De Coninck C. Dhr. Claeyssens E.

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische Schakelingen (ET1205-D2) Datum: maandag 30 juni 2008 Tijd: 09.00 12.00 uur Naam: Studienummer: Cijfer Lees dit

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Hfdst. 2: COMBINATORISCH PROGRAMMEREN

Hfdst. 2: COMBINATORISCH PROGRAMMEREN 2.1. Basisinstructies: 2.1.1. Ja-functie: Indien je een normaal open schakelaar bedient, moet de lamp oplichten. Waarheidstabel: Booleaanse schrijfwijze: Q0.0 = I0.0 2.1.2. Niet-functie: Waarheidstabel:

Nadere informatie

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN J.E.J. op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 28 maart 25 J.E.J.opdenBrouw@hhs.nl Week.. Ontwerp een omschakelbare

Nadere informatie

Hoofdstuk 4 : BESLISSINGSDIAGRAM

Hoofdstuk 4 : BESLISSINGSDIAGRAM Hoofdstuk 4 : BESLISSINGSDIAGRAM 4.1. Inleiding. Om te komen tot het resultaat dat we in het kader van dit eindwerk hebben bereikt, moesten we een studie maken van de bestaande methodes en op basis hiervan

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

GEÏNTEGREERDE PROEF. VTI Sint-Laurentius. Pakketweegschaal. Industriële informatie & communicatietechnologie SCHOOLJAAR 2010-2011.

GEÏNTEGREERDE PROEF. VTI Sint-Laurentius. Pakketweegschaal. Industriële informatie & communicatietechnologie SCHOOLJAAR 2010-2011. VTI Sint-Laurentius De school voor Wetenschap, Techniek en Technologie Pr. Thuysbaertlaan 1 9160 Lokeren www.vti-lokeren.be info@vti-lokeren.be GEÏNTEGREERDE PROEF Pakketweegschaal Industriële informatie

Nadere informatie

Oefeningen Interpretatie I Reeks 6 : Registermachines

Oefeningen Interpretatie I Reeks 6 : Registermachines Oefeningen Interpretatie I Reeks 6 : Registermachines Deze oefeningenreeks behandelt het beschrijven van computationele processen aan de hand van registermachineprogrammaʼs. Registermachines manipuleren

Nadere informatie

PCG/2761 Bediening tarreer, printen INDICATOR 2761 Toets bediening BEDIENING TARREER, PRINTEN Omschrijving TARREREN (= nulstellen) T Last opleggen, tarreertoets bedienen, òf 1 2, 5 T Tarra gewicht intoetsen

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Raspberry Pi Interfacing.

Raspberry Pi Interfacing. Als je met je Raspberry Pi contact wil maken met de buitenwereld heb je het GPIO ( General Purpose Input Output) interface van de RPi nodig. Met het GPIO interface kun je besturingen maken voor heel veel

Nadere informatie

Klasse B output buffer voor een Flat Panel Display Kolom aansturing

Klasse B output buffer voor een Flat Panel Display Kolom aansturing Gevalstudie 1 Klasse B output buffer voor een Flat Panel Display Kolom aansturing IEEE Journal of Solid-state circuits, Vol 34, No 1, Januari 1999, pp 116-119 Jan Genoe KHLim Flat Panel display kolom driver

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

GTE. elektronische temperatuurregelaar

GTE. elektronische temperatuurregelaar GTE elektronische temperatuurregelaar De GTE regelt automatisch de snelheid van monofazige spanningsregelbare motoren/ ventilatoren (2 VAC - 5/6 Hz) volgens gemeten temperatuurwaarden (PT5). De maximale

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Digitaal is een magisch woord

Digitaal is een magisch woord Digitaal is een magisch woord Hieronder leest u over digitale logica. De theorie en de praktijk. Dit werk moet nog uitgebreid worden met meer informatie over TTL, CMOS en varianten. Daarnaast kunnen de

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (4) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files 1 Introductie In deze oefening zal je je LCD display leren aansturen. Je controleert deze display door er instructies naar te

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

RIOT Remote Internet Operated Terminal. Gebruikers handleiding v1.0 6) De intelligente I/O-controller IOsys.

RIOT Remote Internet Operated Terminal. Gebruikers handleiding v1.0 6) De intelligente I/O-controller IOsys. 6) De intelligente I/O-controller IOsys. Om de I/O mogelijkheden van het RIOT bord aanzienlijk te vergroten werd een, als intelligente I/O-controller geprogrammeerde, AVRmega 128 microcontroller op het

Nadere informatie

AP80 Display Controller

AP80 Display Controller Datasheet AP80 AP80 Display Controller Toepasbaar als: Display voor positie en snelheid Nokkencontroller Signaalomvormer Toerentalbewaking Linearisatie functie 72 mm ca. 160 mm 144 mm Voor sensoren met:

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/214-215 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

PRINTER SELECTOR INTERFACE en OUTPUT-INTERFACE CARTRIDGE

PRINTER SELECTOR INTERFACE en OUTPUT-INTERFACE CARTRIDGE PRINTER SELECTOR INTERFACE en OUTPUT-INTERFACE CARTRIDGE MSX CLUB MAGAZINE 1988 Scanned, ocr ed and converted to PDF by HansO, 2001 Soms kan het een probleem zijn wanneer je als MSX'er wil gebruik maken

Nadere informatie

GEINTEGREERDE PROEF DE COMPUTER ALS TV AFSTANDSBEDIENING

GEINTEGREERDE PROEF DE COMPUTER ALS TV AFSTANDSBEDIENING 7 IC De Computer als TV afstandsbediening - 1 - KTA-Gent GEINTEGREERDE PROEF DE COMPUTER ALS TV AFSTANDSBEDIENING Arnoud De Kemel Industriële Computertechnieken Schooljaar 2004-2005 7 IC De Computer als

Nadere informatie

Logische poorten. Invertor (NOT) Samenvatting Computer Architectuur 2006-2007. Hoofdstuk 7 Klaas Lauwers 1

Logische poorten. Invertor (NOT) Samenvatting Computer Architectuur 2006-2007. Hoofdstuk 7 Klaas Lauwers 1 Logische poorten and or Xor (=EOF) buffer (signaal versterken over lange afstand) Invertor (NOT) nand nor xnor Hoofdstuk 7 Klaas Lauwers 1 De Morgan Boolese Algebra Transistorniveau Transistor - Het is

Nadere informatie

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT. Geschreven door: Tom Vocke

AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT. Geschreven door: Tom Vocke AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT Geschreven door: Tom Vocke Datum: 28-09-2010 Inleiding: Dit document bevat alle hardware informatie betreffende de AVR-Doper mini. Het eerste deel zal zich

Nadere informatie

VOORBLAD SCHRIFTELIJKE TOETSEN

VOORBLAD SCHRIFTELIJKE TOETSEN VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING : ELEKTROTECHNIEK TOETSCODE : UITWERKINGEN INLDIG GROEP : EP, EQD TOETSDATUM : 3 OKTOBER 24 TIJD : 3: 4:3 AANTAL PAGINA S (incl. voorblad) : DEZE TOETS BESTAAT

Nadere informatie