Antwoorden zijn afgedrukt!!!!!!!

Maat: px
Weergave met pagina beginnen:

Download "Antwoorden zijn afgedrukt!!!!!!!"

Transcriptie

1 Computerorganisatie INF/TEL (233) februari 2, uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode, naam docent Kokkeler/Molenkamp ) en zorg er ook voor dat de kopieën goed leesbaar zijn. Er wordt punt in mindering gebracht op het eindcijfer indien dit niet zorgvuldig is gedaan. Aanwijzingen bij het maken van het tentamen:. Beantwoord de vragen uitsluitend op de aangegeven plaatsen op dit vragenformulier 2. Vul op de eerste bladzijde uw naam, opleiding en studentnummer in. 3. Vul op de overige bladzijden uw naam in. 4. Lever altijd alle bladzijden in (documentatie mag worden meegenomen). 5. Tijdens dit tentamen is alleen het gebruik van schrijfgerei en een rekenmachine toegestaan. Andere schriftelijke bronnen en apparatuur (organizers, laptops, etc.) zijn verboden. Naam:... Studentnummer:... Opleiding:... Voor dit tentamen krijgt je maximaal 85 punten van de punten. Voor de opdrachten computerorganisatie krijg je of 5 punten. Is het onderdeel opdrachten computerorganisatie voor het tentamen ingeleverd: Antwoorden zijn afgedrukt!!!!!!! OPGAVE : = 8 PUNTEN Gegeven is de formule voor Z (de som van mintermen zijn gegeven). Met F wordt aangegeven waar de functie Z is en d geeft de don t care s aan. F(A,B,C) = m(,, 2, 4), d(a,b,c) = m(6) a) Geef een minimale logische formule voor Z als sum-of-products (SOP). SOP Z = Z=!A.!B +!C F(A,B,C,D) = m(5, 6, 7,, 3), d(a,b,c,d) = m(, 5) b) Geef een minimale logische formule voor Z als sum-of-products (SOP). SOP Z = B.D +!A.B.C + A.!B.C.!D

2 OPGAVE = PUNTEN a) Converteer het niet gehele decimale getal 25,5625 naar octaal en hexadecimaal. Octaal: 373,44 Hexadecimaal: FB,9 b) Wat is voor een 8-bits processor het bitpatroon van het decimale getal -24 in achtereenvolgens Signed Magnitude notatie, -complement notatie en 2-complement notatie? Signed Magnitude: -complement: 2-complement: c) Bij 8-bits 2-complement notatie: wat is het kleinste positieve decimale getal dat bij het decimale getal -2 opgeteld moet worden opgeteld zodanig dat de opteller een Carry-Out genereert? Antw: = 24d OPGAVE = 7 PUNTEN F =X.Y.Z + X.Y.Z + X.Y.Z a) Bepaal middels formulemanipulatie het complement van F zodat producten van somtermen ontstaan. In het antwoord mogen alleen de inverse van enkelvoudige variabelen voorkomen. TIP: werk dit eerst uit op kladpapier! Antw.!F=!(!X.Y.!Z + X.!Y.Z + X.Y.Z) =!(!(!X.Y.!Z).!( X.!Y.Z).!(X.Y.Z)=!F = (X+!Y+Z).(!X+Y+!Z).(!X+!Y+!Z) b) Welke theorema heb je hierbij gebruikt? Antw. De Morgan 2

3 Naam:.. OPGAVE 4 Gegeven zijn de functies: F = A + B + A B C F 2 = A + B + C 7 PUNTEN Beschikbaar is een PLA met vier ingangen en drie uitgangen, bestaande uit vier programmeerbare AND-poorten, twee programmeerbare OR-poorten met vier ingangen en een programmeerbare uitgangsinverter. a) Zet kruisjes in de AND, OR en inversie matrix zodat de gewenste functies gerealiseerd worden. A B C A B 2 A C X Fuse intact 3 B C C C B B A A 4 A B C F F2 OPGAVE 5: 5 PUNTEN Een disassembler kan van machinecode weer assembly maken. Geef van onderstaande machine de daarbij behorende assembly voor de instrcutieset van de simpele processor (zie documentatie) Adres (hex) Machinecode (hex) Assembly 2, 4 load R, A C E 2 22, 23, 2, D, 4 B4, 2 52, 24 5, 3 B, 8 C, load R2, load R3, load R, load R4,[R] jmpeq R4=R,2 addi R2,R2,R4 addi R,R,R3 jmp 8 halt 3

4 OPGAVE 6: = 7 PUNTEN Gegeven het volgende toestandsdiagram: S S Start state: S Input: X Output: Y S2 S3 a) Vul voor het gegeven toestandsdiagram deze tabel in. Present Next State State Y X= X= S S S S S S3 S2 S S2 S3 S2 S b) Is de gegeven toestandsmachine een Moore of Mealy machine? Motiveer uw antwoord. Moore/Mealy: Moore Motivatie: uitgang onafh. van input 4

5 Naam:.. Gegeven is de volgende toestandstabel voor een synchrone sequentiële machine met vier toestanden S, S, S2 en S3, met ingangen X en X2 en uitgang Z. De schakeling functioneert volgens de onderstaande tabel. Next state Current X,X2 Output state Z S S S S2 S3 S S S2 S3 S S2 S2 S3 S S S3 S3 S S S2 De schakeling wordt gerealiseerd met twee flipflops, F en F2, waarbij de volgende toestandscodering voor de flipflops (F,F2) wordt gebruikt: S=(,); S=(,); S2=(,); S3=(,). Voor de flipflops worden twee D-flipflops gekozen. c) Vul het Karnaugh-diagram in voor F.D, de D-ingang van flipflop F. F.D X F F2 antwoord X2 d) Leid een eenvoudige logische formule af voor de uitgang Z. Z= F 5

6 OPGAVE 7: 5 PUNTEN LIBRARY ieee; USE ieee.std_logic_64.all; USE ieee.numeric_std.all; ENTITY vraagstuk IS PORT (a : IN std_logic; b : IN std_logic; clk : IN std_logic; rst : IN std_logic; y : OUT std_logic); END vraagstuk; ARCHITECTURE gedrag OF vraagstuk IS SIGNAL z : std_logic; BEGIN PROCESS(rst,clk) BEGIN IF rst='' THEN z<= ''; ELSIF rising_edge(clk) THEN z<= a AND b; END IF; END PROCESS; y <= z OR b; END gedrag; Teken een minimaal schema van een realisatie van bovenstaande VHDL beschrijving. Er wordt een synchrone schakeling beschreven. Er mag gebruik worden gemaakt van D-flipflops, logische poorten (and,nand,or, nor, xor) en multiplexers. The rst ingang is verbonden met de asynchrone reset ingang van de D-fliplfop (voorzien van een inversie) De D-ingang is verbonden met de logische AND van A en B. De uitgang van de D-flipflop wordt ge-ored met ingang b. Het resultaat is Y. 6

7 Naam:.. OPGAVE 8: = 5 PUNTEN De IEEE heeft ook een 64 bits genormaliseerd floating point formaat gedefinieerd met de volgende eigenschappen: - Tekenbit: bit ( is negatief, is positief) - Exponent: bits in excess 23 code - Mantissa: 52 bits en hidden bit. Punt rechts het hidden bit - Er is geen sprake van een genormaliseerd getal als het exponent veld gevuld is met alleen nullen of alleen enen. Geef als antwoord op onderstaande vragen een exact antwoord (gebruik eventueel machten van 2 in het antwoord). a) Maximale decimale waarde van de mantissa b) Minimale decimale waarde van de mantissa c) Maximale decimale waarde van de exponent = 23 d) Geef de bitrepresentatie van het decimale getal eerste bits rechts van de punt te geven) Tekenbit: Exponent: Mantissa: (.). 9 2? (van de mantissa hoef je alleen de 6 e) Geef een formule voor het verschil tussen twee exact te representeren genormaliseerde floating point getallen? r=2 E-52 f) Hoeveel getallen kunnen exact worden gerepresenteerd als alleen uitgegaan wordt van genormaliseerde getallen? r= (2-2)

8 OPGAVE 9: 5+5 PUNTEN a) De instructieset van de single cycle processor (zie documentatie) wil men aanpassen. Een van de instructies wordt verwijderd en moet vervangen worden door de instructie: INC[R] met als gedrag mem[r]!mem[r]+ (Register R van de registerfile bevat het geheugenadres waarvan de inhoud met moet worden opgehoogd). Kan deze instructie worden gerealiseerd met de single cycle processor? Motiveer uw antwoord. Kan de INC[R] worden gerealiseerd: Ja/Nee: Nee Motivatie: De data uit het geheugen moet eerst in de registerfile voordat er een bewerking op kan worden uitgevoerd. Kan niet in een klokperiode b) Om te reageren om externe events kan gebruik worden gemaakt van polling en interrupts. Geeft een korte omschrijving van beide methoden. Polling Processor kijkt of er een data o.i.d. is Interrupt Het externe device meldt aan de computer dat er data is. 8

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

scc =!F3.!F2 b) CD AB

scc =!F3.!F2 b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy06 2e jaar bachelor AI, 2e semester 23 september 2013 13u-15u IWO 4.04A (blauw), Academisch Medisch Centrum, Meidreef 29, Amsterdam ZuidOost Het is niet toegestaan communicatieapparatuur

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het werkcollege van Processen & Processoren! Gang van zaken Behandelen oefenopgaven w.geraedts@student.ru.nl

Nadere informatie

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Studentnummer:... Opleiding:... a) Met welke term wordt het interface tussen software en hardware van een processor aangeduid?

Studentnummer:... Opleiding:... a) Met welke term wordt het interface tussen software en hardware van een processor aangeduid? Computerorganisatie (213110) Dinsdag 15 augustus 2000, 13.30 17.00 uur 7 bladzijden met 6 opgaven 3 bladzijden met documentatie Bij dit tentamen mag geen gebruik worden gemaakt van boeken, dictaten of

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

VOORBLAD SCHRIFTELIJKE TOETSEN

VOORBLAD SCHRIFTELIJKE TOETSEN VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING : ELEKTROTECHNIEK TOETSCODE : UITWERKINGEN INLDIG GROEP : EP, EQD TOETSDATUM : 3 OKTOBER 24 TIJD : 3: 4:3 AANTAL PAGINA S (incl. voorblad) : DEZE TOETS BESTAAT

Nadere informatie

Hoe werkt een computer precies?

Hoe werkt een computer precies? Hoe werkt een computer precies? Met steun van stichting Edict Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Overview Introductie SIM-PL Simulatietool voor werking computer

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Proeftentamen Digitale technieken

Proeftentamen Digitale technieken Proeftentamen Digitale technieken André Deutz October 17, 2007 De opgaven kunnen uiteraard in willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

Digitaal Ontwerp Mogelijke Examenvragen

Digitaal Ontwerp Mogelijke Examenvragen Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief

Nadere informatie

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Burg.Geyskensstraat 11 3580 BERINGEN De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Versie: vrijdag 2 november 2007 2 Toegepaste informatica 1 De Microprocessor Zowel

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Deeltoets Digitale technieken

Deeltoets Digitale technieken Deeltoets Digitale technieken André Deutz 22 oktober, 2007 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Uitwerkingen vorige opgavenserie Behandelen oefenopgaven

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Hoe werkt een rekenmachine?

Hoe werkt een rekenmachine? Hoe werkt een rekenmachine? Uit welke hardware-componenten bestaat een rekenmachine? Welke instructies kan de machine uitvoeren? Practicum met de rekenmachine I Constante getallen Instructies van het type

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 10 November 2014

Processoren. Marc Seutter & David N. Jansen 10 November 2014 Processoren Marc Seutter & David N. Jansen 10 November 2014 Leerdoelen Inzicht krijgen in de opbouw van de hardware van een computer en de instructies van een processor. je construeert een (eenvoudige)

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 4 Datapadsystemen Jesse op den Brouw DIGSE2/2016-2017 Complexe systemen In principe kan elk sequentiëel systeem beschreven worden met een toestandsdiagram. In de praktijk

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Interne voorstelling. types en conversies. Binaire en andere talstelsels. Voorstelling van gegevens: bits en bytes

Interne voorstelling. types en conversies. Binaire en andere talstelsels. Voorstelling van gegevens: bits en bytes Interne voorstelling types en conversies Het geheugen wordt ingedeeld in een aantal gebieden van gelijke grootte. Een gebied van 8 bits noemt men een byte (nible een groep van bits). Een (computer)woord

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 12 November 2013

Processoren. Marc Seutter & David N. Jansen 12 November 2013 Processoren Marc Seutter & David N. Jansen 12 November 2013 Leerdoel opbouw van de hardware in een computer je construeert een (eenvoudige) processor je schrijft een (kort) assembly-programma je kunt uitleggen:

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 17 2 Elementaire bewerkingen In dit hoofdstuk leer je hoe werken met binaire getallen en hexadecimale getallen omgezet wordt naar een decimaal getal en omgekeerd. Vervolgens

Nadere informatie

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur. Technische Universiteit Eindhoven Faculteit Elektrotechniek EXAMENONDEDEEL ELEKTONISHE INSTUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot 2.00 uur. Het gebruik van het collegedictaat Elektronische

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Overzicht van resultaten Opmerkingen over inleveren Uitwerkingen

Nadere informatie

Talstelsels en getalnotaties (oplmodel)

Talstelsels en getalnotaties (oplmodel) Talstelsels en getalnotaties (oplmodel) herhalingsvragen 1. Waarom werken computers binair? Omdat binaire computers veel makkelijker te maken is. De kans op fouten is ook veel kleiner. het spanningsverschil

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 19 2 Elementaire bewerkingen 1 BINAIRE GETALLEN In het vorige hoofdstuk heb je gezien dat rijen bits worden gebruikt om lettertekens, getallen, kleuren, geluid en video

Nadere informatie

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Tentamen Engineering 2011/2012: Opleiding: ESE, HN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00 Vakcode: DSO deel 2 Lokaal: Docent: RZ antal tentamenbladen:

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

slides12.pdf December 14, 2001 1

slides12.pdf December 14, 2001 1 Onderwerpen Inleiding Algemeen 12 Getallen Getallen Representaties Rekenen Problemen Piet van Oostrum 12 dec 2001 INL/Alg-12 1 X INL/Alg-12 1 X Getallen Soorten getallen Wat is een getal? Experiment: met

Nadere informatie

SIM-PL, auteursomgeving voor digitale componenten

SIM-PL, auteursomgeving voor digitale componenten SIM-PL, auteursomgeving voor digitale componenten Ben Bruidegom en Wouter Koolen-Wijkstra, AMSTEL-insituut UvA Samenvatting SIM-PL is een auteursomgeving om componenten te construeren en te simuleren voor

Nadere informatie

Geannoteerde uitwerking tentamen Processoren 30 Januari 2015

Geannoteerde uitwerking tentamen Processoren 30 Januari 2015 Geannoteerde uitwerking tentamen Processoren 30 Januari 2015 Aangezien het voor het volgende tentamen handig kan zijn om van het januaritentamen een uitwerking te kunnen bekijken, heb ik deze geannoteerde

Nadere informatie

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher.

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher. De Backer, Kris / Kenens, Liesbeth Digitale Systemen / Kris De Backer & Liesbeth Kenens; Geel: Campinia Media vzw, 2004-2de druk sept. 2005; 216 p;index; 25,5 cm; gelijmd. ISBN: 90.356.1184.5; NUGI 854;

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy06 2e jaar bachelor AI, 2e semester 24 september 2013 13u-15u IWO 4.04A (blauw), Academisch Medisch Centrum, Meidreef 29, Amsterdam ZuidOost Het is niet toegestaan de communicatie

Nadere informatie

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN J.E.J. op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 28 maart 25 J.E.J.opdenBrouw@hhs.nl Week.. Ontwerp een omschakelbare

Nadere informatie

Oefeningen Digitale Elektronica (I), deel 4

Oefeningen Digitale Elektronica (I), deel 4 Oefeningen Digitale Elektronica (I), deel 4 Oefeningen op min en maxtermen, decoders, demultiplexers en multiplexers (hoofdstuk 3, 3.6 3.7) Wat moet ik kunnen na deze oefeningen? Ik kan de minterm en maxtermrealisatie

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

1 Rekenen in eindige precisie

1 Rekenen in eindige precisie Rekenen in eindige precisie Een computer rekent per definitie met een eindige deelverzameling van getallen. In dit hoofdstuk bekijken we hoe dit binnen een computer is ingericht, en wat daarvan de gevolgen

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (2)

De Arduino-microcontroller in de motorvoertuigentechniek (2) De Arduino-microcontroller in de motorvoertuigentechniek (2) E. Gernaat (ISBN 978-90-79302-11-6) 1 Procescomputer 1.1 Microprocessoren algemeen De informatie-verwerking zoals is behandeld, is vrijwel geheel

Nadere informatie

Examen computerarchitectuur

Examen computerarchitectuur Examen computerarchitectuur Woensdag 22 juni 2005, 8u30 Prof. Koen De Bosschere Naam, Voornaam: Richting: Belangrijk 1. Vergeet niet uw naam en voornaam te vermelden. 2. Schrijf de antwoorden in de daarvoor

Nadere informatie

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen Informatica 2 Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen 1 Op dit lesmateriaal is een Creative Commons licentie van toepassing. 2014 Remie Woudt remie.woudt@gmail.com

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 5 2 s complement representatie, BCD-optellen Jesse op den Brouw INLDIG/2015-2016 Introductie negatieve getallen Tot nu toe zijn alleen positieve getallen (en nul) behandeld.

Nadere informatie

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN Pa ELO/IT irk Smets Vereenvoudigen van logische vergelijkingen formules uit de logische algebra met vallen en opstaan? Venn-diagrammen tot 3 variabelen een Karnaugh-kaart in principe tot 6 variabelen handig

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/214-215 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Logica voor Informatici najaar 2000 Opgaven en Oplossingen Hoofdstuk 2

Logica voor Informatici najaar 2000 Opgaven en Oplossingen Hoofdstuk 2 Logica voor Informatici najaar 2000 Opgaven en Oplossingen Hoofdstuk 2 2.1 Geef de volgende zinnen weer in propositionele notatie: i Als de bus niet komt, komen de tram en de trein We voeren de volgende

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

In deze mannual zal ik het voorbeeld van de Led cube gebruiken maar de principes zijn op alles toepasbaar.

In deze mannual zal ik het voorbeeld van de Led cube gebruiken maar de principes zijn op alles toepasbaar. Parallelle poort interface met Visual basic Waarom dit naslagwerk: Ik was zelf een beginner op dit vlak en heb dagen lopen zoeken naar correcte en up to date info inzake de aansturing van pc naar elektronica

Nadere informatie

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Getallenrepresenta*e. Processen en Processoren 7 februari 2012 Getallenrepresenta*e Processen en Processoren 7 februari 2012 Vrijwilligers voor dinsdagmiddag werkcollege ca. 17 studenten dinsdagmiddag 15.45, ca. 33 studenten woensdagochtend 10.45 bonusregeling Als

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Talstelsels, getalnotaties en Ascii code

Talstelsels, getalnotaties en Ascii code Talstelsels, getalnotaties en Ascii code 1 Algemeenheden Digitale systemen werken met nullen en enen omdat dit elektronisch gemakkelijke te verwezenlijken is. De transistor wordt in digitale systemen als

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

Rekenen aan wortels Werkblad =

Rekenen aan wortels Werkblad = Rekenen aan wortels Werkblad 546121 = Vooraf De vragen en opdrachten in dit werkblad die vooraf gegaan worden door, moeten schriftelijk worden beantwoord. Daarbij moet altijd duidelijk zijn hoe de antwoorden

Nadere informatie

Voorblad tentamen ENG

Voorblad tentamen ENG Voorblad tentamen ENG 015-016 Naam student: Studentnr: Klas: Cijfer: Dit voorblad en indien aangegeven overige opgavenbladen, moeten voorzien zijn van naam, studentnummer en klas. Controleer dit tentamen

Nadere informatie

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Computersysteem Een systeem dat rekenkundige operaties, data manipulaties en beslissingen kan uitvoeren, aan de hand

Nadere informatie

Tentamen Programmeren in C (EE1400)

Tentamen Programmeren in C (EE1400) TU Delft Tentamen Programmeren in C (EE1400) 3 feb. 2012, 9.00 12.00 Faculteit EWI - Zet op elk antwoordblad je naam en studienummer. - Beantwoord alle vragen zo nauwkeurig mogelijk. - Wanneer C code gevraagd

Nadere informatie

Studiewijzer Digitale Systeemengineering , versie 1.7, , J.E.J. op den Brouw

Studiewijzer Digitale Systeemengineering , versie 1.7, , J.E.J. op den Brouw 2017/2018 Elektrotechniek Semester 1.2 Studiewijzer Digitale Systeemengineering 2 (E DIGSE2 13 ) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Wasif

Nadere informatie

2 Algemene opbouw van een computersysteem

2 Algemene opbouw van een computersysteem Procescomputer E. Gernaat 1 Microprocessoren algemeen Informatie-verwerking zoals behandeld is momenteel vrijwel geheel overgenomen door microprocessoren. Wanneer we voortborduren op het idee van combinatorische

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

Inleiding Digitale Techniek. Week 7 Schuifregisters Jesse op den Brouw INLDIG/2013-2014

Inleiding Digitale Techniek. Week 7 Schuifregisters Jesse op den Brouw INLDIG/2013-2014 Inleiding Digitale Techniek Week 7 Schuifregisters Jesse op den Brouw INLDIG/213-214 Schuifregisters In de digitale techniek en met name in de digitale communicatie wordt veel gebruik gemaakt van seriële

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

Getalformaten, timers en tellers

Getalformaten, timers en tellers Getalformaten, timers en tellers S_CU CU S PV R CV DEZ CV_BCD S_ODT S TV BI R BCD 1 pagina 1 Getalformaten (16 bits) PG CPU BCD W#16#296 Voorteken (+) 2 9 6 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 0 Positieve getallen

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 3 Synthese, simuatie, testbenches, rekenen in VHDL Jesse op den Brouw DIGSE1/2018-2019 Synthese Synthese is het proces van het automatisch genereren van hardware uit

Nadere informatie

clk_32768 mins_up secs_up countdown clear time_zero

clk_32768 mins_up secs_up countdown clear time_zero Opdracht week 3 en 4 kookwekker Inleiding Het koken van een eitje lukt de meeste mensen nog. Toch zijn er wel mensen die dat niet zonder een kookwekker kunnen, met als gevolg een hard gekookt ei (of juiste

Nadere informatie

8.1 Herleiden [1] Herleiden bij vermenigvuldigen: -5 3a 6b 8c = -720abc 1) Vermenigvuldigen cijfers (let op teken) 2) Letters op alfabetische volgorde

8.1 Herleiden [1] Herleiden bij vermenigvuldigen: -5 3a 6b 8c = -720abc 1) Vermenigvuldigen cijfers (let op teken) 2) Letters op alfabetische volgorde 8.1 Herleiden [1] Herleiden bij vermenigvuldigen: -5 3a 6b 8c = -720abc 1) Vermenigvuldigen cijfers (let op teken) 2) Letters op alfabetische volgorde Optellen: 5a + 3b + 2a + 6b = 7a + 9b 1) Alleen gelijksoortige

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

Registers & Adressering. F. Rubben, ing 2008-2010

Registers & Adressering. F. Rubben, ing 2008-2010 Registers & Adressering, ing 2008-2010 Inhoud Leerstof tot nu toe Opbouw registers Benaming registers Opbouw data Verloop programma Leerstof tot nu toe: Bouw PLC Intern Extern fabrikanten Aansluiten I/O

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Schriftelijke zitting Regeltechniek (WB2207) 3 november 2011 van 9:00 tot 12:00 uur

Schriftelijke zitting Regeltechniek (WB2207) 3 november 2011 van 9:00 tot 12:00 uur Schriftelijke zitting Regeltechniek (WB2207) 3 november 2011 van 9:00 tot 12:00 uur Onderstaande aanwijzingen nauwkeurig lezen. Vul op het voorblad uw naam, voorletters, studienummer en opleiding in. Dit

Nadere informatie

Examen computerarchitectuur

Examen computerarchitectuur Examen computerarchitectuur Vrijdag 8 juni 2007, 14u00 Prof. Koen De Bosschere Naam, Voornaam: Richting: Belangrijk 1. Vergeet niet uw naam en voornaam te vermelden. 2. Schrijf de antwoorden in de daarvoor

Nadere informatie

Tentamen Computerorganisatie in aug. 1999, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 9

Tentamen Computerorganisatie in aug. 1999, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 9 TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Subfaculteit Technische Wiskunde en Informatica Werkeenheid Technische Informatica Tentamen Computerorganisatie in101 27 aug. 1999,

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie