Digitaal Ontwerp Mogelijke Examenvragen

Maat: px
Weergave met pagina beginnen:

Download "Digitaal Ontwerp Mogelijke Examenvragen"

Transcriptie

1 Digitaal Ontwerp: Mogelijke Examenvragen.X) G-complement-methode Negatief getal voorgesteld door g-complement van positieve getal met dezelfde modulus. Uit eigenschap: Som van een negatief getal en positief getal met dezelfde grootte is gelijk aan 0. Definitie: X X = g n => vb. X = g n X grondtal 0, 4 cijfers. Positieve getallen van tot 4999 Negatieve getallen van 9999 tot 5000 X =234 => X = =8766 grondtal 2, 8 bits Positieve getallen van (+) tot 0 (+27) Negatieve getallen van (-) tot (-28) X =00 00 => X = =00 0 Algemeen: voor getallen van n bits in two's complement -voorstelling: 2 n X 2 n G-complement van een getal kan gemakkelijk berekend worden door gebruik te maken van het (g-)-complement g n = g n Negatieve getallen dus: X =[ g n X ] -> g- complement van X vb. X =234 => => X = complement: 0-complement: = X one's-complement: two's-complement: XX) Floating-point voorstelling -> Reële getallen voorstellen met een groot bereik: veel cijfers voor en na de komma. -> Precisie wordt bepaald door het aantal significante cijfers..xx.) Principe (binair) (/23)

2 Om alle bits zo nuttig mogelijk te gebruiken -> vlottende komma (floating point) x= M. 2 E M: Matisse -> vorm: E: Exponent 0,....XX.2) Praktische werkwijze (binair) Gebruik van volgend formaat in meeste 32bit computers: (verondersteld ste bit na komma altijd, daarom niet meegeteld) S exponent E mantisse M exponent E kan zowel negatief als positief zijn: -28 tot 27 ( bit voor het teken) mantisse M 23 bits + hiddenbit = 24 bits -> eerste cijfer na de komma is steeds en hoeft niet gecodeerd te worden (hiddenbit) Volgende getallen voor te stellen: Negatieve: Positieve: en 0, , en Toch 5 getallenbereiken niet te coderen: 24 - negatieve getallen met absolute waarde kleiner dan 2.2 negatieve overflow positieve getallen groter dan 2.2 positieve overflow - getal 0 -> meeste vormen hebben specifieke code voor 0 - negatieve getallen groter dan 0, negatieve underflow - positieve getallen kleiner dan 0, positieve underflow 27 Floating-point enkel in gearceerde vlakken: , , XX.3) Principe (decimaal) n= f. 0e f: Matisse e: Exponent -> vorm: 0,... ( na de komma een beduidend cijfer) -> grootste absolute waarde = -> kleinste absolute waarde = 0, ,.0 99 (2/23)

3 .XXX) De IEEE 754 Standaard Ontstaan in bit voorstelling = enkelvoudige precisie - 64-bit voorstelling = dubbele precisie - Genormaliseerde getallen: - Tekenbit - Exponent (8 of bits) - Mantisse -> significand (23 of 52 bits):,bbb...b (hiddenbit = voor komma) - Exponent in enkelvoudige precisie: 27-teveel voorstelling: -26 tit Exponent in dubbele precisie: 023-teveel voorstelling: -022 tot Exponent-codes 0 en 255 (resp. 2047) worden niet gebruikt voor genormaliseerde getallen. - Gedenormaliseerde getallen worden gekenmerkt door allemaal nullen in het exponent-veld. Met de 23 (resp. 52) bits van de significant wordt het getal voorgesteld: 0, bbb...b (resp.: 0, bbb...b ) - Kleinste genormaliseerd getal:, , Hexadecimaal geschreven: > H - Grootste genormaliseerd getal:, > 7F7FFFFFH - Grootste gedenormaliseerd getal: 0, , , > 007FFFFFH - Kleinste gedenormaliseerd getal: 0, = , = > H - Getal nul = tekenbit 0 of, exponentveld 0, significantveld 0 - Oneindig = tekenbit 0 of, exponentveld 255 (2047), significantveld 0 - Not a number = tekenbit 0 of, exponentveld 255 (2047), significantveld 0 -> Oefeningen zie slide 4 cursus. (3/23)

4 3.0) Logic Gate Waveform Generator (Johnson shift counter) Door gebruik maken van: - Basis poorten - Clock oscillator - Herhalende golfvorm generator -> Kunnen we speciale golfvormen creëren voor digitale controle en sequentiele cirquits. Een populaire in veel toepassingen gebruikte herhalende golfvorm generator is de: Johnson shift counter 3.5.2) Johnson Shift Counter Operation -> Automatische reset van de 4 flip-flops De uitgang is gekruist teruggekoppeld naar de ingang. (4/23)

5 3.6) VHDL Description of Shift Registers - Zeer flexibel voor het ontwerpen van shift registers - shift-links of shift-rechts - synchroon of asynchroon parallel laden - circuleren van databits VHDL-code Serial-in shift-right shift register: LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY shift_a IS PORT( n_cp, ser_data q END shift_a; : IN std_logic; : OUT std_logic_vector (3 DOWNTO 0)); ARCHITECTURE arc OF shift_a IS SIGNAL reg : std_logic_vector (3 DOWNTO 0); BEGIN PROCESS (n_cp) BEGIN IF (n_cp'event AND n_cp='0') THEN reg(3) <= ser_data; reg(2) <= reg(3); reg() <= reg(2); reg(0) <= reg(); END IF; q<=reg; END PROCESS; END arc; VHDL-code Parallel-load shift-right shift register: LIBRARY ieee; USE ieee.std_logic_64.all; ENTITY shift_b IS PORT( n_cp, pl par_data q END shift_b; : IN std_logic; : IN std_logic_vector (3 DOWNTO 0); : OUT std_logic_vector (3 DOWNTO 0)); ARCHITECTURE arc OF shift_b IS SIGNAL reg : std_logic_vector (3 DOWNTO 0); (5/23)

6 BEGIN PROCESS (n_cp, pl) BEGIN IF (pl='') THEN reg <= par_data; ELSIF (n_cp'event AND n_cp='0') THEN reg(3) <= '0'; reg(2) <= reg(3); reg() <= reg(2); reg(0) <= reg(); END IF; q <= reg; END PROCESS; END arc; 6.5) CPLD Design Applications with VHDL CPLD Parallel Binary Comparator: VHDL code: LIBARY ieee; USE ieee.std_logic_64.all; ENTITY parallel_binary_comparator IS PORT( A : IN std_logic_vector (3 DOWNTO 0); B : IN std_logic_vector (3 DOWNTO 0); W : OUT std_logic); END parallel_binary_comparator; ARCHITECTURE arc OF parallel_binary_comparator IS BEGIN w<=(a(0) XNOR B(0)) AND (A() XNOR B()) AND (A(2) XNOR B(2)) AND (A(3) XNOR B(3)); END arc; Info: Om deze vergelijker van bitstrengen te ontwerpen maken we gebruik van vectors, hiermee kan bitstrengt van x binaire waarde in keer vergeleken worden. (6/23)

7 CPLD Controlled Inverter: VHDL-code: LIBARY ieee; USE ieee.std_logic_64.all; ENTITY controlled_inverter IS PORT( C : IN std_logic; A : std_logic_vector (3 DOWNTO 0); X : std_logic_vector (3 DOWNTO 0)); END controlled_inverter; ARCHITECTURE arc OF controlled_inverter IS BEGIN PROCESS (c,d) BEGIN FOR i IN 3 DOWNTO 0 LOOP X(i)<=A(i) XOR C; END LOOP; END PROCESS; END arc; Info: Hier is gebruik gemaakt van een for-loop om de controles uit te voeren, de output zorgt voor het inverse van de ingegeven bitstrengt ) Conversie van BCD naar Binary met 7484 EX Hardware uitvoering van BCD-naar-binair converter is de > 8 actief HOOG uitgangen Y tot Y 5 voor gewone BCD naar binair conversie Y 6 tot Y 8 voor speciale BCD code, nine's en ten's complement. -> 5 actief HOOG ingangen HOOG, alle uitgangen HOOG -> actief LAAG is een enable input. G (7/23)

8 6-bit BCD-converter: -> LSB van BCD is altijd gelijk aan LSB van binair. -> Gewichten: A=2 B=4 C=8 D = 0 E = 20 -> Er zijn slechts 2 MSD BCD ingangen beschikbaar, de grootste BCD ingang is 3 (). Meer gangbare configuraties om volledige BCD getallen om te vormen zijn te vinden in volgende schema's (a) en (b). De 7485 is ontworpen om in de omgekeerde zin te werken binair-naar-bcd conversie (c) en (d). (8/23)

9 vb. p.332 -> ZEKER bekijken.) Flip-Flop Time Parameters mogelijke EX Een J-K Flip-Flop met zijn timing analyse. De golfvorm voor J en C p kan problemen geven, er treden race condities op. Een raceconditie treedt op wanneer een getriggerd apparaat zoals een flip-flop zijn ingang gelijktijdig verandert met de actieve flank van de klok. -> Als ze gelijktijdig wijzigen, wat is de waarde van J bij de negatieve flank (9/23)

10 van de klok? -> Er is dus een onbepaalde toestand voor Q -> In H0 is gesproken over de setup tijd. In de datasheet van het betreffende IC, zijn de ac golfvormen (ac waveforms) te vinden die de meetpunten weergeven voor de varierende tijdsparameters. -> De eigenlijke overgang vindt plaats bij de overgang op,3 V Setup tijd t s L =20ns, voor een juiste interpretatie van J of K moet deze meer of minder zijn dan.3v 20ns voor de actieve klok overgang. -> Dit geldt niet alleen voor de ingangen, ook de uitgangen moeten een bepaalde tijd vastgehouden worden. Hold tijd t h L & t h H -> Deze kan ook 0ns zijn. De vertraging tussen ingang en uitgang wordt propagation delay genoemd. t PLH & t PHL -> indexen gaan over Q -> Verschil tussen synchrone & asynchrone ingangen. -> Deze worden gemeten van de klok triggering tot de uitgang. (0/23)

11 vb. Maximum frequentie: f max -> Frequenties hoger dan dezen zullen onvoorspelbare resultaten veroorzaken. Klokpuls minimum breedte LAAG: t w L -> Deze moet een bepaalde tijd LAAG zijn voor vertrouwbare resultaten Klokpuls mimumum breedte HOOG: t w H -> Deze moet een bepaalde tijd HOOG zijn voor vertrouwbare resultaten Set or Reset mimimum breedte LAAG: t w L -> Deze moet een bepaalde tijd LAAG zijn aan de Set S D of Reset R D. => Als aan deze specificaties niet voldaan is, kan de flip-flop in een metastabiele toestand geraken. -> De uitgang Q zal een ongeldige waarde hebben voor een korte tijd, en zal daarna stabiliseren naar HOOG of LAAG. vbn. zie p & ) Automatic reset We wensen een automatische reset bij het opstarten van de schakeling, zodat we weten in welke staat deze zich bevindt. We wensen een LAAG niveau aan de R D ingang klem voor een korte tijd bij het (/23)

12 opstarten. Na een paar microseconden een HOOG niveau aan R D, zo dat de flip flop synchroon kan werken. -> RC schakeling gebruiken. Wanneer er meer apparaten aan de reset lijn worden aangesloten zal de tijd van het LAAG zijn bij inschakelen afnemen. -> Om dit tegen te gaan kan de condensator verhoogt worden..3) Schmitt Trigger ICs De Schmitt Trigger gebruikt intern positieve terugkoppeling voor snellere niveau veranderingen en er onstond een effect Hysteresis. Hysteresis betekend dat het schakelen op een spanning bij een stijgend signaal op een hoger niveau is dan het schakelen bij een dalend signaal. -> Zeer bruikbaar bij apparaten waar jitter optreedt of elektrische ruis. VT is typisch,7 V (2/23)

13 VT is typisch 0,9 V Een belangrijke specificatie van de Schmitt Trigger devices is de transfer functie grafiek: Vout uitgezet tegenover Vin Het laag signaal blijft na een stijging hangen op 0,2 V 2.3) Ontwerp van Delen-door-N tellers Tel-schakelingen worden ook regelmatig gebruikt als frequentie delers. De uitgangen zijn: de helft een vierde een achtste van de aangelegde klok frequentie Een MOD-8 is dus een divide-by-8 frequentie deler Een MOD-6 is dan een divide-by-6 frequentie deler Wat als we een divide-by-5 frequentie deler wensen? 5 is binair 0 -> gebruiken lijnen 20 en 22, zijn beide HOOG een reset pulse op alle (3/23)

14 flip-flops geven. vbn. zie p voor 0 of 6 deler 4.) Multivibrators Multivibrator is een circuit dat verandert tussen 2 digitale niveaus: - continu, op vrije basis (free-running) - op vraag van een externe bron Er zijn 3 types multivibrators: - Bistable -> In van 2 digitale staten gebracht door een externe bron, en blijft in die staat, tot de externe bron hem in de tegengestelde staat dwingt. (S-R Flip-flop) - Astable -> Is een vrij lopende oscillator dat alterneert tussen 2 digitale niveaus op een specifieke frequentie en met een cyclus. - Monostable (4/23)

15 -> Ook wel one shot genoemd, slechts een enkele puls aan de uitgang met een specifieke lengte en gestuurd door externe bron. -> Deze worden gebruikt voor timing toepassingen. Het laden en ontladen van een condensator is gebruikt voor het voorzien van de specifieke tijd. 4.3) Astable Multivibrators Opgebouwd met een weerstand, condensator en Schmitt Trigger inverter. Werking:. Wanneer de spanning van de IC wordt ingeschakeld is dus V cap =0V, V out zal hoog zijn. 5 V 2. De condensator zal beginnen opladen door de 3. Als V_cap de positieve threshold Schmitt trigger VT 5V op V out bereikt zal de uitgang van de V out LAAG worden. V out 0V is, zal de condensator beginnen ontladen naar 0V. 4. Omdat 5. Als 6. De cyclus herhaald zich nu, de condensator laadt weer op tot V cap zakt onder de negatieve threshold V T zal de uitgang van de Schmitt trigger V out terug HOOG worden. en ontlaadt zich tot -> VT VT en terug op, enz. V out zal oscilleren tussen V OH en V OL. (5/23)

16 vb. zie p ) Monostable Multivibrators -> One shot Q=LOW en Q=HIGH ->Switcht enkel voor een tijd t w naar de tegengestelde staat door de ingang A - Heeft stabiele staat. Werking:. Als de spanning wordt ingeschakeld, is A HOOG, Q LAAG, Q HOOG, en C begint te ontladen, punt D is op dat ogenblik HOOG 2. Als de negatieve puls op 3. De spanning over de condensator kan niet plots veranderen, en zakt naar 0V. 4. Als punt D LAAG is, zal er ingang van poort LAAG zijn, zelfs als LAAG A HOOG wordt, zal Q HOOG blijven en Q 5. Ondertussen is de condensator aan het opladen naar V CC. Als de condensator de voltage op punt D bereikt voor een HOOG niveau op HOOG poort V IH zal Q terug LAAG worden en Q 6. Het circuit is terug stabiel en wacht op een andere puls van LAAG A, Q HOOG en Q A (6/23)

17 Berekeningen: V OH =5V V IH =3,5 V V OL =0V V IL =V R=4.7k C=0,0047 F v=3,5 0=3,5V E=5,0 0=5V t w =RC ln =26,6 s v E 4.5) Integrated-Circuit Monostable Multivibrators Monostabiele vibrators zijn te verkrijgen in ICs. -> nonretriggerable of retriggerable -> RC timing componenten zijn extern verbonden voor het bepalen van de puls breedte. -> lees p ) Retriggerable Monostable Multivibrators Wat als er een tweede klokpuls binnenkomt als de cyclus nog niet is afgerond. -> Nonretriggerable => deze wordt genegeerd. -> Retriggerable => zullen met een nieuwe cyclus starten. Verschil tussen deze twee: vb. zie p (7/23)

18 4.7) Astable Operation of the 555 IC Timer EX populaire algemeen gebruik timer IC -> Gebruik als one shot -> of astable oscillator heeft zijn naam van de 3 5k weerstanden, die functioneren als een spanningsdeler tussen V CC en de massa. - Ook comparators of opamps gebruikt in schakeling -> Als + meer positief is dan - -> uitgang HOOG -> Als + minder positief is dan - -> uitgang LAAG HOOG is. - De ontlaadt transistor is een NPN, deze ontlaadt de condensator als Q () Ground: De massa. (2) Trigger: Set's de flip-flop, als spanning aan klem (2) van boven naar onder gaat, wordt de comparator HOOG. (3) Output: Eerst nog een invertor, de uitgang is een buffer. (4) Reset: HOOG en pin 3 (Output) LAAG. Actief LAAG reset, dwingt Q (5) Control: Gebruikt om het 2 te overschrijven indien nodig, meestal verbonden met condensator voor het filteren van ruis. (6) Threshold: Ingang naar de ste comparator, voor het resetten van de flip flop. Als de spanning aan klem (6) van onder naar boven 2 gaat, wordt de comparator HOOG. (7) Discharge: Verbonden met de open collector van de NPN transistor, als HOOG is, zal de externe condensator ontladen worden. Q (8/23)

19 (8) V CC : Voedingsspanning van 4,5 tot 8V Werking:. Spanning aan, condensator ontladen, 0V op klem 2, comparator 2 is = LAAG, uitgang = HOOG) HOOG, flip-flop geset Q 2. = LAAG), de ontlaadt transistor is open, de Met uitgang HOOG ( Q condensator laat naar V CC via R A RB 3. Als de condensator spanning over gaat, de comparator 2 wordt LAAG, heeft geen effect op de S-R flip-flop, maar de condensator spanning overschrijdt HOOG, reset de flip-flop 2, comparator wordt = HOOG, uitgang = LAAG Q = HOOG, de transistor ontlaadt de condensator via RB Q 4. Met 5. Als de condensator spanning tot gezakt is, comparator 2 wordt terug HOOG, set de flip-flip en uitgang veranderd weer. 6. = LAAG, de transistor is terug open en de condensator Nu, met Q laadt terug op. 7. De cyclus herhaalt zich. Uit de formule die eerder is gegeven kunnen we t LO en t HI berekenen. v = het verschil tussen de condensator spanningen bij schakelen 2 = CC = CC CC E = de totale afstand die de condensator spanning probeert af te leggen 2 = CC = V CC CC 3 3 = tijdsconstante, of het pad waar de lading door vloeit = R A RB. C Algemene formule: t =R C ln v E t LO =? (9/23)

20 t LO =R B C ln t LO =R B C ln 2 0,5 t LO =R B C ln 2 t LO =0,693. RB. C t HI =? t HI = R A RB C ln t HI = R A RB C ln 2 0,5 t HI = R A R B C ln 2 t HI =0,693. R A RB. C (20/23)

21 4.7.) De 50% Duty Cycle Astable Oscillator t HI =t LO Wanneer we een 50% duty cycle willen: -> Dit is nooit mogelijk want de tijdsconstante moete gelijk zijn en R A RB R B Dit kan opgelost worden door een diode, zodat opladen. RB niet wordt gebruikt tijdens het 4.8) Monostable Operation of the 555 IC Timer - EX Werking: V out LAAG, ontlading van C. Initially (voor triggering plaats vindt), vindt plaats. 2. Klem 2 is normaal HOOG door de 0k weerstand. Om de one shot te triggeren, een neergaande puls is nodig op klem 2 3. De trigger zorgt dat comparator 2 HOOG wordt, de FF wordt geset, V out wordt HOOG en opent de transistor. 4. Nu de condensator vrij is, kan hij laden van 0V naar 5. Als V C de treshold van HOOG, reset de FF, 6. V CC via R A 2 overschrijdt, comparator wordt V out wordt LAAG, en sluit de transistor. De condensator ontlaadt snel tot 0V, en de one shot houdt zijn stabiele toestand V out LAAG tot nieuwe triggering. (2/23)

22 Hoe tot t w komen? t w =R A C ln t w =R A C ln 2 V CC 0,667 t w =R A C ln 3 (22/23)

23 t w =,0.R A.C 4.9) Crystal Oscillators -> lees p. 68 (23/23)

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Fig. 5.1: Blokschema van de 555

Fig. 5.1: Blokschema van de 555 5 Timer IC 555 In de vorige drie hoofdstukken hebben we respectievelijk de Schmitt-trigger, de monostabiele en de astabiele multivibrator bestudeerd. Voor ieder van deze schakelingen bestaan in de verschillende

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Klasse B output buffer voor een Flat Panel Display Kolom aansturing

Klasse B output buffer voor een Flat Panel Display Kolom aansturing Gevalstudie 1 Klasse B output buffer voor een Flat Panel Display Kolom aansturing IEEE Journal of Solid-state circuits, Vol 34, No 1, Januari 1999, pp 116-119 Jan Genoe KHLim Flat Panel display kolom driver

Nadere informatie

Inductiemeter via de parallelle poort

Inductiemeter via de parallelle poort K.T.A.1-Gent "De Lindenlei" Lindenlei 38 9000 Gent Tel: 09.225.33.04 en 09.225.43.42 Fax: 09.225.52.88 Geïntegreerde proef Inductiemeter via de parallelle poort Naam: Michaël Clinckspoor Richting: Industriële

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Getalformaten, timers en tellers

Getalformaten, timers en tellers Getalformaten, timers en tellers S_CU CU S PV R CV DEZ CV_BCD S_ODT S TV BI R BCD 1 pagina 1 Getalformaten (16 bits) PG CPU BCD W#16#296 Voorteken (+) 2 9 6 0 0 0 0 0 0 1 0 1 0 0 1 0 1 1 0 Positieve getallen

Nadere informatie

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Elektronica monteur, Technicus Elektronica

Elektronica monteur, Technicus Elektronica Elektronica monteur, Technicus Elektronica Patrick De Locht Business Developer SYNTRA Limburg vzw Versie Mei 2016 Patrick.delocht@syntra-limburg.be 1 Beschrijving traject Heb je al langer zin om je te

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 6 Timing, SR-latch, gated latches, flipflops, register Jesse op den Brouw INLDIG/2016-2017 Geheugen Tot nu toe zijn alleen combinatorische schakelingen behandeld. Bij deze

Nadere informatie

Interne voorstelling. types en conversies. Binaire en andere talstelsels. Voorstelling van gegevens: bits en bytes

Interne voorstelling. types en conversies. Binaire en andere talstelsels. Voorstelling van gegevens: bits en bytes Interne voorstelling types en conversies Het geheugen wordt ingedeeld in een aantal gebieden van gelijke grootte. Een gebied van 8 bits noemt men een byte (nible een groep van bits). Een (computer)woord

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Talstelsels en getalnotaties (oplmodel)

Talstelsels en getalnotaties (oplmodel) Talstelsels en getalnotaties (oplmodel) herhalingsvragen 1. Waarom werken computers binair? Omdat binaire computers veel makkelijker te maken is. De kans op fouten is ook veel kleiner. het spanningsverschil

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

slides12.pdf December 14, 2001 1

slides12.pdf December 14, 2001 1 Onderwerpen Inleiding Algemeen 12 Getallen Getallen Representaties Rekenen Problemen Piet van Oostrum 12 dec 2001 INL/Alg-12 1 X INL/Alg-12 1 X Getallen Soorten getallen Wat is een getal? Experiment: met

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 3: Eenvoudige externe hardware & hardware programmeren in C Hardware programmeren in C Inmiddels ben je al aardig op gang gekomen met het programmeren van microcontrollers.

Nadere informatie

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen Samenvatting door A. 1243 woorden 12 april 2013 7,6 12 keer beoordeeld Vak Natuurkunde Natuurkunde Systemen Systemen We onderscheiden 3 soorten gegevensverwerkende systemen: meetsysteem: meet een grootheid

Nadere informatie

Deel 1 De Operationele versterker

Deel 1 De Operationele versterker Deel 1 1)Symbool Henry Torfs 6TIICT 1/11 2)Inwendige + werking 2.1)Inwendige structuur van de Op-Amp Verschilversterker Versterker Eindtrap Henry Torfs 6TIICT 2/11 3)Werking De operationele versterker

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

Tinyserir-RC5. Datasheet. Tinyserir-RC5 Page: 1 of 8

Tinyserir-RC5. Datasheet. Tinyserir-RC5 Page: 1 of 8 9600 bps RS-232 interface voor uitlezing van Ontvangen RC5 codes Led aanduiding bij ontvangst van Infrarood pulsen Led aanduiding goede werking Interne firmware Inwendige oscillator Weinig externe componenten

Nadere informatie

Binair Binair = tweewaardig Beperkt aantal mogelijke waarden (discreet aantal in amplitude) Wij zijn gewoon aan decimaal (tiendelig)

Binair Binair = tweewaardig Beperkt aantal mogelijke waarden (discreet aantal in amplitude) Wij zijn gewoon aan decimaal (tiendelig) Binair Binair = tweewaardig Beperkt aantal mogelijke waarden (discreet aantal in amplitude) Wij zijn gewoon aan decimaal (tiendelig) In elektronische realisatie zijn 10 verschillende toestanden moeilijk

Nadere informatie

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen: EGITE Een groep van flipflops om data te stockeren bufferregisters: om gegevens tijdelijk op te slaan schuifregisters: de inhoud verschuift doorheen de flipflops ynchrone schakeling Kan opgebouwd worden

Nadere informatie

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave):

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): D is de normale data ingang C is de normale fase 1 klok I is de data ingang van het shift-regiester A is de klok

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

Digitaal is een magisch woord

Digitaal is een magisch woord Digitaal is een magisch woord Hieronder leest u over digitale logica. De theorie en de praktijk. Dit werk moet nog uitgebreid worden met meer informatie over TTL, CMOS en varianten. Daarnaast kunnen de

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 19 2 Elementaire bewerkingen 1 BINAIRE GETALLEN In het vorige hoofdstuk heb je gezien dat rijen bits worden gebruikt om lettertekens, getallen, kleuren, geluid en video

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Module 1: werken met OPAMPS. Project 1 : Elementaire lineaire OPAMP schakelingen.

Module 1: werken met OPAMPS. Project 1 : Elementaire lineaire OPAMP schakelingen. Vak: Labo elektro Pagina 1 / / Module 1: werken met OPAMPS. Project 1 : Elementaire lineaire OPAMP schakelingen. 1. Opgaven. - Zoek de bijzonderste principe schema s en datagegevens. Meet de opstellingen

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Elementare elektronica schakelingen in de motorvoertuigentechniek (6)

Elementare elektronica schakelingen in de motorvoertuigentechniek (6) Elementare elektronica schakelingen in de motorvoertuigentechniek (6) Timloto o.s. / E. Gernaat / ISBN 978-90-808907-4-9 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1

Toestandentabel van een SR-FF. S R Qn Qn+1 0 0 0 onbep. 0 0 1 onbep. 0 1 0 1 SET 0 1 1 1 SET 1 0 0 0 RESET 1 0 1 0 RESET 1 1 0 0 1 1 1 1 (een algemeen overzicht ) Inleiding Bij combinatorische schakelingen zijn de uitgangen enkel afhankelijk van de ingangen. Bij sequentiële schakelingen zijn de uitgangen voorzien van een geheugensysteem

Nadere informatie

Hfdst. 2: COMBINATORISCH PROGRAMMEREN

Hfdst. 2: COMBINATORISCH PROGRAMMEREN 2.1. Basisinstructies: 2.1.1. Ja-functie: Indien je een normaal open schakelaar bedient, moet de lamp oplichten. Waarheidstabel: Booleaanse schrijfwijze: Q0.0 = I0.0 2.1.2. Niet-functie: Waarheidstabel:

Nadere informatie

Oefenopgaven nr. 1 Opgave 1.1

Oefenopgaven nr. 1 Opgave 1.1 Oefenopgaven nr. 1 Opgave 1.1 Beschouw onderstaande transistor. De technologie is de 0.25µm technologie uit het boek, maar we nemen λ=0 en V DSAT =. (Opm.: De zinsnede is de 0.25µm technologie uit het

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 5 2 s complement representatie, BCD-optellen Jesse op den Brouw INLDIG/2015-2016 Introductie negatieve getallen Tot nu toe zijn alleen positieve getallen (en nul) behandeld.

Nadere informatie

Operationele versterkers

Operationele versterkers Operationele versterkers. Inleiding. Een operationele versterker of ook dikwijls kortweg een "opamp" genoemd, is een veel voorkomende component in de elektronica. De opamp komt voor in allerlei verschillende

Nadere informatie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie www.arduino.cc Arduino en DDS DDS chips DDS = Direct Digital (frequency) Synthesis Output = sinusvormig signaal Maximum frequentie = ½ klokfrequentie Frequentie bepaald door tuning word Grootste fabrikant:

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (3)

De Arduino-microcontroller in de motorvoertuigentechniek (3) De Arduino-microcontroller in de motorvoertuigentechniek (3) E. Gernaat (ISBN 978-90-79302-11-6) 1 In- en uitgangssignalen van microprocessoren 1.1 Overzicht signalen Informatie van en naar een microprocessor

Nadere informatie

In- en uitgangssignalen van microprocessoren

In- en uitgangssignalen van microprocessoren In- en uitgangssignalen van microprocessoren E. Gernaat 1 Overzicht signalen Informatie van en naar een microprocessor kan parallel of seriëel gebeuren. Bij parallel-overdracht zal elke lijn (draad) een

Nadere informatie

Fig. 2. Fig. 1 5 4,5 4 3,5 3 2,5 2 1,5 U (V) 0,5. -20 0 20 40 60 80 100 temperatuur ( C)

Fig. 2. Fig. 1 5 4,5 4 3,5 3 2,5 2 1,5 U (V) 0,5. -20 0 20 40 60 80 100 temperatuur ( C) Deze opgaven en uitwerkingen vind je op https://www.itslearning.com en op www.agtijmensen.nl Wat je moet weten en kunnen gebruiken: Zie het boekje Systeembord.. Eigenschappen van de invoer-elementen (sensor,

Nadere informatie

Hoofdstuk 2 Elektronische Systemen en Instrumentatie

Hoofdstuk 2 Elektronische Systemen en Instrumentatie Hoofdstuk 2 Elektronische Systemen en Instrumentatie Hanne Thienpondt Gebaseerd op de PowerPoint van Prof. Dr. ir. Jan Doutreloigne H2: Analyse en synthese van elektronische schakelingen Analyse van analoge

Nadere informatie

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken.

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken. Talstelsels 1 Algemeenheden Digitale systemen werken met nullen en enen omdat dit elektronisch gemakkelijke te verwezenlijken is. De transistor kent enkel twee toestanden (geleiden of sperren) Hierdoor

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (3) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Inleiding. Tentamen Elektronische Schakelingen. Vul op alle formulieren die u inlevert uw naam en studienummer in.

Inleiding. Tentamen Elektronische Schakelingen. Vul op alle formulieren die u inlevert uw naam en studienummer in. Vul op alle formulieren die u inlevert uw naam en studienummer in. Tentamen Elektronische Schakelingen Datum: woensdag 28 augustus 2002 Tijd: 09.00-12.00 Naam: Studienummer: Cijfer Lees dit eerst Vul uw

Nadere informatie

scc =!F3.!F2 b) CD AB

scc =!F3.!F2 b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Ontwerp van digitale systemen. in VHDL

Ontwerp van digitale systemen. in VHDL Ontwerp van digitale systemen in VHDL Luc Friant Inhoud - 1 - Inhoud - 2 - Inhoud Voorwoord 1. Hoofdstuk 1 Algemene structuur in VHDL 2. Hoofdstuk 2 De beschrijving van sequentiële logica in VHDL 3. Hoofdstuk

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Formularium Elektronische Systemen en Instrumentatie. Hanne Thienpondt

Formularium Elektronische Systemen en Instrumentatie. Hanne Thienpondt Formularium Elektronische Systemen en Instrumentatie Hanne Thienpondt Formularium Termen en definities Analoog signaal Digitaal signaal Binair signaal V en I continue functies van de tijd V en I discontinue

Nadere informatie

Registers & Adressering. F. Rubben, ing 2008-2010

Registers & Adressering. F. Rubben, ing 2008-2010 Registers & Adressering, ing 2008-2010 Inhoud Leerstof tot nu toe Opbouw registers Benaming registers Opbouw data Verloop programma Leerstof tot nu toe: Bouw PLC Intern Extern fabrikanten Aansluiten I/O

Nadere informatie

Talstelsels, getalnotaties en Ascii code

Talstelsels, getalnotaties en Ascii code Talstelsels, getalnotaties en Ascii code 1 Algemeenheden Digitale systemen werken met nullen en enen omdat dit elektronisch gemakkelijke te verwezenlijken is. De transistor wordt in digitale systemen als

Nadere informatie

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1 9 9 1. 2 4 0 VANTEK Discovery set N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. 1 Inhoudsopgave Binair rekenen Pulse en Countermodule blz. 3 Informatieverwerking Input en outputmodules blz.

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Repetitie Elektronica (versie A)

Repetitie Elektronica (versie A) Naam: Klas: Repetitie Elektronica (versie A) Opgave 1 In de schakeling hiernaast stelt de stippellijn een spanningsbron voor. De spanningsbron wordt belast met weerstand R L. In het diagram naast de schakeling

Nadere informatie

GEÏNTEGREERDE PROEF. VTI Sint-Laurentius. Pakketweegschaal. Industriële informatie & communicatietechnologie SCHOOLJAAR 2010-2011.

GEÏNTEGREERDE PROEF. VTI Sint-Laurentius. Pakketweegschaal. Industriële informatie & communicatietechnologie SCHOOLJAAR 2010-2011. VTI Sint-Laurentius De school voor Wetenschap, Techniek en Technologie Pr. Thuysbaertlaan 1 9160 Lokeren www.vti-lokeren.be info@vti-lokeren.be GEÏNTEGREERDE PROEF Pakketweegschaal Industriële informatie

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy06 2e jaar bachelor AI, 2e semester 23 september 2013 13u-15u IWO 4.04A (blauw), Academisch Medisch Centrum, Meidreef 29, Amsterdam ZuidOost Het is niet toegestaan communicatieapparatuur

Nadere informatie

Het niveau tussen de drempels (UT+ en UT-) noemt men de hysteresis. VH t

Het niveau tussen de drempels (UT+ en UT-) noemt men de hysteresis. VH t MONOSTABIELE MULTIVIBATOEN Schmitt-triggers Een Schmitt-triger is een bistabiele multivibrator die omkipt bij twee niveaus (drempelspanningen) UTen UT+. De uitgangsspanning van de IC verandert niet zolang

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

RCL Arduino Workshop 1

RCL Arduino Workshop 1 RCL Arduino Workshop 1 Leren door doen april 2015 - slides voor RCL Arduino workshop 1 ON4CDU & ON8VQ Workshop Leren door doen Werken in een groep Beperkte tijd Alleen essentiele vragen stellen Thuis oefenen

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 4 Datapadsystemen Jesse op den Brouw DIGSE2/2016-2017 Complexe systemen In principe kan elk sequentiëel systeem beschreven worden met een toestandsdiagram. In de praktijk

Nadere informatie

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen Jan Genoe KHLim Situering RAM-geheugens Geheugens Halfgeleider Geheugens Serieel toegankelijk geheugen Willekeurig toegankelijk geheugen Read Only Memory ROM Random Access Memory RAM Statische RAM SRAM

Nadere informatie

Overgangsverschijnselen

Overgangsverschijnselen Hoofdstuk 5 Overgangsverschijnselen Doelstellingen 1. Overgangsverschijnselen van RC en RL ketens kunnen uitleggen waarbij de wiskundige afleiding van ondergeschikt belang is Als we een condensator of

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 2 Binaire getallen, BCD, Gray, ASCII, 7-segment Jesse op den Brouw INLDIG/205-206 Decimaal talstelsel Ons talstelsel is een zogenaamd positioneel talstelsel. Een getal

Nadere informatie

Hoofdstuk 6: Digitale signalen

Hoofdstuk 6: Digitale signalen Hoofdstuk 6: Digitale signalen 6. Algemeenheden Het decimale talstelsel is het meest gebruikte talstelsel om getallen voor te stellen. Hierin worden symbolen gebruikt ( t.e.m. 9 ) die ondubbelzinning de

Nadere informatie

Slimme schakelingen (2)

Slimme schakelingen (2) Slimme schakelingen (2) Technische informatica in de zorg Thijs Harleman Modulecode: TMGZ-AMAL23 23 februari 2015 1 Overzicht college Doel van dit college: Verdiepen van kennis en inzicht van het ontwerpen

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

digitale meettechniek J.P.GOEMAERE

digitale meettechniek J.P.GOEMAERE Vak: docent: digitale meettechniek J.P.GOEMAERE Opleidingsonderdeel : Digitale meetinstrumenten en DSP O.O.V: J.P.GOEMAERE 1 Opleidingsonderdeel : digitale meettechnieken en DSP Vak: digitale meettechniek

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Voor deze oefeningenles heb je de handleiding van de uitgedeelde ARM processor nodig. Je kan deze vinden op de website van het

Nadere informatie

Condensator = passieve component bestaande uit 2 geleiders (platen) met een isolator/diëlectricum(lucht, papier, kunststoffen) tussen.

Condensator = passieve component bestaande uit 2 geleiders (platen) met een isolator/diëlectricum(lucht, papier, kunststoffen) tussen. H2: Condensatoren: Opbouw: Condensator = passieve component bestaande uit 2 geleiders (platen) met een isolator/diëlectricum(lucht, papier, kunststoffen) tussen. Opgelet: 2 draden/printbanen kort naast

Nadere informatie

4. Exponentiële vergelijkingen

4. Exponentiële vergelijkingen 4. Exponentiële vergelijkingen De gelijkheid 10 3 = 1000 bevat drie getallen: 10, 3 en 1000. Als we van die drie getallen er één niet weten moeten we hem kunnen berekenen. We kunnen dus drie gevallen onderscheiden:

Nadere informatie