Geheugenbeheer. ICT Infrastructuren 2 december 2013

Maat: px
Weergave met pagina beginnen:

Download "Geheugenbeheer. ICT Infrastructuren 2 december 2013"

Transcriptie

1 Geheugenbeheer ICT Infrastructuren 2 december 2013

2 Doelen van geheugenbeheer Reloca>e (flexibel gebruik van geheugen) Bescherming Gedeeld/gemeenschappelijk geheugen Logische indeling van procesonderdelen Fysieke plaatsing in RAM

3 Eenvoudig geheugenbeheer OS gebruikt een klein stukje geheugen rest is voor gewone processen OS vrij

4 Eenvoudig geheugenbeheer OS gebruikt een klein stukje geheugen rest is voor gewone processen processen beginnen op adres 1000 waar plaats je een tweede proces? OS proces 1 vrij

5 Reloca>e programma aanpassen aan beginadres OS moet adressen vertalen bestand bevat nodige informa>e

6 Van broncode naar proces bron- code compiler assembly code assembler Stallings, appendix 7A object- bestand symbool- tabel

7 Van broncode naar proces object- bestand object- bestand object- bestand sta>c library linker / binder load module dynamic library

8 Van broncode naar proces load module loader RAM dynamic library dynamic library

9 Geheugenbeheer voor meer dan één proces Processen afschermen: geen vreemde gegevens lezen of verknoeien Gedeeld geheugen: samenwerkende processen kunnen gemeenschappelijke gegevens hebben b.v. programmacode gemeenschappelijke variabelen (op verzoek)

10 Logische organisa>e van RAM verschillende soorten gegevens in RAM: programmacode constanten variabelen stapel OS kan specifieker beschermen

11 Fysieke plaatsing in RAM programma en data vaak te groot voor RAM trucs om RAM groter te laten lijken: logisch adres fysisch adres logisch = wat de programmeur/cpu ziet fysiek = wat de RAM- chip ziet Memory Management Unit vertaalt logisch! fysiek

12 Memory Management Unit Processor bus Geheugen

13 Memory Management Unit Processor lezen/schrijven databus adresbus verkeerd adres Geheugen

14 Memory Management Unit Processor logisch adr logisch of fysiek adres verkeerd lezen/schrijven databus MMU fysiek adr fysiek adres verkeerd Geheugen

15 Par>>onering Paging Segmenta>e Virtueel geheugen Technieken voor logische adressen

16 Par>>onering verouderd, basis van andere technieken geheugen verdeeld in vaste blokken elk proces krijgt één blok logisch adres = adres binnen blok fysiek adres = logisch adres + = beginadres van blok

17 Partitionering Als proces 1 actief is: Logisch geheugen / RAM 0 FFF Fysiek geheugen / RAM 0 OS proces 0 proces 1 proces 2 proces 3 proces proces 5 proces FFF Na een process switch naar proces 2: Logisch geheugen / RAM 0 FFF Fysiek geheugen / RAM 0 OS proces 0 proces 1 proces 2 proces proces 4 proces 5 proces FFF fysiek RAM dat het proces niet kan gebruiken proces mag dit logische adres niet gebruiken

18 Par>>onering nadeel: kleine en grote processen krijgen evenveel geheugen (interne fragmenta>e) variant: verschillend grote blokken nieuw probleem: veel kleine processen! inefficiënt twee grote processen! wachten

19 Dynamische par>>onering OS maakt blok aan als proces start bij einde ontstaat gat (externe fragmenta>e) verschillende grooces welk gat gebruiken voor nieuw proces? first / next / best fit

20 drie opdrachten uit Stallings Paging: hoofdstuk 7.3, figuur 7.9 en 7.12a Segmenta>e: hoofdstuk 7.4, figuur 7.12b Virtueel geheugen: hoofdstuk 8.1: pagina , 367, figuur 8.4

21 Paging geheugen verdelen in kleine blokken van vaste grooce frame = fysiek geheugenblok pagina = logisch geheugenblok proces gebruikt 1 of meer pagina s fysieke adressen van pagina s hoeven niet op elkaar te volgen

22 Voorbeeld: Paging pagina 0 van proces C is in frame 7 B stopt D start

23 Paging: Berekening van fysiek adres hogere bits van logisch adres: paginanummer lagere bits: offset binnen de pagina

24 Paging: Berekening van fysiek adres Virtual Address Physical Address Page # Offset Frame # Offset Register n bits Page Table Ptr + Page# Page Table m bits Offset Page Frame Frame # Program Paging Mechanism Main Memory Figure 8.3 Address Translation in a Paging System

25 Segmenta>e geheugen verdelen in blokken van variabele grooce segment bevat een stuk programmacode of gegevens voor één proces lijkt op dynamisch par>>oneren maar proces kan meerdere segmenten hebben segmencabel paginatabel +

26 Segmenta>e programmeur ziet segmenten betere bescherming read- only segmenten execute- only segmenten strengere controle op verkeerd adres geen interne fragmenta>e wel externe fragmenta>e

27 Segmenta>e: Berekening van fysiek adres hogere bits van logisch adres: segmentnr lagere bits: offset binnen het segment offset lengte? addi>e

28 Segmentatie segment 2 segment 0 segment 3 segment 1 Logisch geheugen / RAM 2:0 2:7B2 0:0 0:9A6 3:0 3:178E 1:0 1:1072 Fysiek geheugen / RAM 0 8AC 105E 19C8 236E 26E8 3E E2 7FFF segment 0 segment 1 segment 2 segment 3 Logisch geheugen / RAM 0:0 0:9A6 1:0 1:1072 2:0 2:7B2 3:0 3:178E Fysiek geheugen / RAM 0 8AC 105E 19C8 236E 26E8 3E E2 7FFF fysiek RAM dat het proces niet kan gebruiken proces mag dit logische adres niet gebruiken

29 Virtueel geheugen: Idee Localiteit: proces gebruikt niet alle pagina s/segmenten tegelijk ongebruikte delen hoeven niet in hoofdgeheugen te staan!

30 Localiteit

31 Virtueel geheugen: Uitwerking stukjes van proces: sommige in hoofdgeheugen andere op harde schijf als proces stukje op harde schijf gebruikt: MMU genereert interrupt OS reserveert nieuw frame/segment OS laadt stukje daarheen extra informa>e in pagina- of segmencabel

32 Virtueel RAM met paginering Logisch geheugen / RAM A000 B000 C000 D000 E000 F000 FFFF Fysiek geheugen / RAM FFF fysiek RAM dat het proces niet kan gebruiken proces mag dit logische adres niet gebruiken als het proces dit adres gebruikt, regelt het OS fysiek geheugen hiervoor

33 Virtueel geheugen: Voordelen meer processen grotere processen snellere start van processen efficiënt! (meestal...)

34 Meer details over... Paginatabellen paginatabel kan erg groot worden idee: paginatabel in virtueel geheugen twee niveaus transla>on lookaside buffer = cache

35 Meer details over... omgekeerde paginatabel andere oplossing voor grote paginatabel klassiek: logisch fysiek adres omgekeerd: fysiek logisch adres één entry per fysiek frame grooce van paginatabel in verhouding met fysiek geheugen hash- func>e voor snelle vertaling logisch fysiek

36 Virtueel geheugen met segmenten minder gebruikelijk, ook mogelijk zelfde principes als bij paging, behalve segmentgrooce

37 Alles- in- één- pakket segmenta>e+paging+virtueel geheugen combineert voordelen van beide kanten nadeel: ingewikkelde berekening van fysiek adres

38 Berekening van fysiek adres

39 Samenvaong Doelen van geheugenbeheer: Wie weet ze nog? meer flexibiliteit door logisch/fysiek geheugen geheugen groter laten lijken: virtueel RAM werkt vanwege localiteit

Geheugenbeheer. ICT Infrastructuren. hoofdstukken 7 en 8.1

Geheugenbeheer. ICT Infrastructuren. hoofdstukken 7 en 8.1 Geheugenbeheer ICT Infrastructuren hoofdstukken 7 en 8.1 Eenvoudig geheugenbeheer OS gebruikt een klein stukje geheugen rest is voor gewone processen OS vrij 0 1000 Eenvoudig geheugenbeheer OS gebruikt

Nadere informatie

Memory Management. Virtual Memory. Eisen Memory Management. Verdelen geheugen over meerdere processen

Memory Management. Virtual Memory. Eisen Memory Management. Verdelen geheugen over meerdere processen Memory Management Process control information Entry point to program Process Control Block Verdelen geheugen over meerdere processen Program Branch instruction Virtual Memory Data Reference to data Processen

Nadere informatie

Geheugen en Adressering. Binding. Binding (2) Logische en Fysieke adresruimten. relocatie register. Dynamic loading

Geheugen en Adressering. Binding. Binding (2) Logische en Fysieke adresruimten. relocatie register. Dynamic loading Geheugen en Adressering Binding Geheugen (main memory, primary storage) is noodzakelijk voor de uitvoering van programma's. te beschouwen als array van adresseerbare bytes (of woorden). verschillende processen

Nadere informatie

Tentamen 17 augustus 2000 Opgaven Computerarchitectuur

Tentamen 17 augustus 2000 Opgaven Computerarchitectuur Tentamen 17 augustus 2000 Opgaven - 1 - Computerarchitectuur Tentamen Computerarchitectuur (213005) 17 augustus 2000 2 bladzijden met 5 opgaven 3 antwoordbladen Het raadplegen van boeken, diktaten of aantekeningen

Nadere informatie

Vraag 1 (2 punten) (iii) Een lees-opdracht van virtueel adres 2148 seg 0, offset 2148 - idem

Vraag 1 (2 punten) (iii) Een lees-opdracht van virtueel adres 2148 seg 0, offset 2148 - idem Tentamen A2 (deel b) 24-06-2004 Geef (liefst beknopte en heldere) motivatie bij je antwoorden; dus niet enkel ja of nee antwoorden, maar ook waarom. Geef van berekeningen niet alleen het eindresultaat,

Nadere informatie

Computerarchitectuur en netwerken. Memory management Assembler programmering

Computerarchitectuur en netwerken. Memory management Assembler programmering Computerarchitectuur en netwerken 2 Memory management Assembler programmering Lennart Herlaar 10 september 2018 Inhoud 1 Protectie: Hoe het O.S. programma s tegen elkaar kan beschermen modes memory management

Nadere informatie

ICT Infrastructuren: Processen en Threads. 18 november 2013 David N. Jansen

ICT Infrastructuren: Processen en Threads. 18 november 2013 David N. Jansen ICT Infrastructuren: Processen en Threads 18 november 2013 David N. Jansen Datum en Ajd van werkcollege na overleg met de aanwezigen: donderdag 8:45 10:30 Leerdoel voor vandaag. Stallings hoofdst 2 4 Hoofddoelen

Nadere informatie

Computerarchitectuur en netwerken. Memory management Assembler programmering

Computerarchitectuur en netwerken. Memory management Assembler programmering Computerarchitectuur en netwerken 2 Memory management Assembler programmering Lennart Herlaar 12 september 2016 Inhoud 1 Protectie: Hoe het O.S. programma s tegen elkaar kan beschermen modes memory management

Nadere informatie

Assembly en Assemblers. Processoren 5 januari 2015

Assembly en Assemblers. Processoren 5 januari 2015 Assembly en Assemblers Processoren 5 januari 2015 Doel van vandaag Ik heb al losse eindjes over assembly verteld en een voorbeeldprogramma doorlopen. vandaag: algemeen + systematisch overzicht Programmeertalen

Nadere informatie

slides2.pdf April 12,

slides2.pdf April 12, Werking van CPU CSN CS2 CPU, I/O en Memory management Piet van Oostrum 12 april 2002 De ALU kan alleen eenvoudige operaties uitvoeren (bijv. twee getallen optellen, vermenigvuldigen of testen of iets >

Nadere informatie

Computerarchitectuur en netwerken Toets 1 4 okt

Computerarchitectuur en netwerken Toets 1 4 okt 11.00 13.00 De open vragen moet je beantwoorden op tentamenpapier. De multiple-choice antwoorden moet je op het vragenblad invullen in de rechtervakjes en dat blad inleveren. Schrijf je naam, studentnummer

Nadere informatie

Computerarchitectuur. App. B. Review of Memory Hierarchy

Computerarchitectuur. App. B. Review of Memory Hierarchy Computerarchitectuur App. B. Review of Memory Hierarchy Kristian Rietveld http://ca.liacs.nl/ Caching De memory wall is een zeer groot probleem dat vraagt om oplossingen. De gebruikelijke oplossing is

Nadere informatie

Een.NET-besturingssysteemtoolkit. Discovering Cosmos. Sijmen J. Mulder

Een.NET-besturingssysteemtoolkit. Discovering Cosmos. Sijmen J. Mulder Een.NET-besturingssysteemtoolkit Discovering Cosmos Sijmen J. Mulder Agenda Boek 1 Cosmos: a very short introduction Boek 2 Modern Operating Systems Pauze Boek 3 The Design and Implementation of the Cosmos

Nadere informatie

n o F o r t h voor MSP430 Launchpad 1. Memory map Er zijn twee ROM gebieden (alle getallen zijn hex): , verdeeld in vier blokken van 40

n o F o r t h voor MSP430 Launchpad 1. Memory map Er zijn twee ROM gebieden (alle getallen zijn hex): , verdeeld in vier blokken van 40 n o F o r t h voor MSP430 Launchpad Albert Nijhof & Willem Ouwerkerk (29aug2012) 1. Memory map Er zijn twee ROM gebieden (alle getallen zijn hex): 1000-1100, verdeeld in vier blokken van 40 1000-1040 INFOD

Nadere informatie

informatica. hardware. overzicht. moederbord CPU RAM GPU architectuur (vwo)

informatica. hardware. overzicht. moederbord CPU RAM GPU architectuur (vwo) informatica hardware overzicht moederbord CPU RAM GPU architectuur (vwo) 1 moederbord basis van de computer componenten & aansluitingen chipset Northbridge (snel) Southbridge ("traag") bussen FSB/HTB moederbord

Nadere informatie

Virtueel Geheugen en demand paging (1)

Virtueel Geheugen en demand paging (1) Virtueel Geheugen en demand paging (1) Programma's zijn vaak niet in hun geheel in het geheugen nodig, vanwege: zelden gebruikte onderdelen groter gedeclareerde arrays dan nodig als programma helemaal

Nadere informatie

Centrale begrippen hoofdstuk 3. Waarom multiprogramming? Vandaag. processen proces state: running, ready, blocked,... Vragen??

Centrale begrippen hoofdstuk 3. Waarom multiprogramming? Vandaag. processen proces state: running, ready, blocked,... Vragen?? Vragen?? Vandaag Hoofdstuk 4: threads (tentamenstof : 4.1 t/m 4.2) Kleine Opgaven 4.1 (niet alleen ja of nee, ook waarom!) en 4.4 inleveren maandag Centrale begrippen hoofdstuk 3 processen proces state:

Nadere informatie

Computertechniek vorige examens

Computertechniek vorige examens Computertechniek vorige examens Examen 2009 Groep 1 1. Geef de 2 manieren waarop de adressen van de I/O-module in de adresruimte geïntegreerd kunnen zijn. (memory-mapped en isolated dus) 2. Wat is post-indexering?

Nadere informatie

TECHNISCHE UNIVERSITEIT EINDHOVEN Faculteit Wiskunde en Informatica

TECHNISCHE UNIVERSITEIT EINDHOVEN Faculteit Wiskunde en Informatica TECHNISCHE UNIVERSITEIT EINDHOVEN Faculteit Wiskunde en Informatica Examen Operating Systemen (2R230) op vrijdag 26 augustus 2005, 14.00-17.00 uur. Het tentamen bestaat uit drie delen die apart worden

Nadere informatie

Hoofdstuk 3: Processen: Beschrijving en Besturing. Wat is een proces? Waarom processen? Wat moet het OS ervoor doen? Is het OS zelf een proces?

Hoofdstuk 3: Processen: Beschrijving en Besturing. Wat is een proces? Waarom processen? Wat moet het OS ervoor doen? Is het OS zelf een proces? Hoofdstuk 3: Processen: Beschrijving en Besturing Wat is een proces? Waarom processen? Wat moet het OS ervoor doen? Is het OS zelf een proces? 1 Wat is een proces? Een proces is een programma in uitvoering

Nadere informatie

TECHNISCHE UNIVERSITEIT EINDHOVEN ComputerSystemen Deeltentamen B (weken 6..9) vakcode 2M208 woensdag 19 Maart 2003, 9:00-10:30

TECHNISCHE UNIVERSITEIT EINDHOVEN ComputerSystemen Deeltentamen B (weken 6..9) vakcode 2M208 woensdag 19 Maart 2003, 9:00-10:30 TECHNISCHE UNIVERSITEIT EINDHOVEN ComputerSystemen Deeltentamen B (weken 6..9) vakcode 2M208 woensdag 19 Maart 2003, 9:00-10:30 Algemene opmerkingen (lees dit!): - Dit tentamen duurt ANDERHALF UUR! - Dit

Nadere informatie

Tim Mallezie Architectuur van besturingssystemen: Vraag A2.

Tim Mallezie Architectuur van besturingssystemen: Vraag A2. Procesbeheer: kenmerken van moderne besturingssystemen. 1. Bespreek de (drie) meest typische kenmerken van moderne besturingssystemen. 2. In hoeverre beantwoorden UNIX, Linux en Windows NT hieraan? Geef

Nadere informatie

Digitale en analoge technieken

Digitale en analoge technieken Digitale en analoge technieken Peter Slaets February 14, 2006 Peter Slaets () Digitale en analoge technieken February 14, 2006 1 / 33 Computerarchitectuur 1 Processors 2 Primair geheugen 3 Secundair geheugen

Nadere informatie

IN1805 I Operating System Concepten

IN1805 I Operating System Concepten IN1805 I Operating System Concepten Hoofdstuk 12: Mass-storage structure 9-1 Secondary storage (1) voornamelijk disks kleinst leesbare eenheid: sector plaats van een sector volledig bepaald door: drive

Nadere informatie

Geheugen onder DOS2 MSX turbor

Geheugen onder DOS2 MSX turbor Geheugen onder DOS2 MSX turbor MSX Computer & Club Magazine nummer 77 - juli / augustus 1995 Alex Wulms Scanned, ocr ed and converted to PDF by HansO, 2001 Deze keer maak ik een uitstapje naar het aansturen

Nadere informatie

1 Aanvulling cosy deeltijd

1 Aanvulling cosy deeltijd 1 Aanvulling cosy deeltijd 1.1 Multiprocessor versus multicomputer Het kenmerk van een multiprocessor is dat meer CPU hetzelfde geheugen delen. Voordeel van deze aanpak is het relatief eenvoudige programmeermodel.

Nadere informatie

LESBRIEF HARDWARE. OPDRACHTEN Hierin vind je alle opdrachten die bij de module Hardware horen. Klas 2

LESBRIEF HARDWARE. OPDRACHTEN Hierin vind je alle opdrachten die bij de module Hardware horen. Klas 2 LESBRIEF HARDWARE OPDRACHTEN Hierin vind je alle opdrachten die bij de module Hardware horen. Klas 2 Inleidend Deze module gaat over de computer, om specifiek te zijn de onderdelen van de computer. Het

Nadere informatie

Les 11: systeemarchitectuur virtuele machines

Les 11: systeemarchitectuur virtuele machines Les 11: systeemarchitectuur virtuele machines Geavanceerde computerarchitectuur Lieven Eeckhout Academiejaar 2008-2009 Universiteit Gent Virtuele machines Motivatie Interfaces Virtualisatie: inleiding

Nadere informatie

Examen besturingssystemen

Examen besturingssystemen Examen besturingssystemen Zaterdag 26 januari 2013, 14u00 Prof. Koen De Bosschere Richting: Naam: Belangrijk 1. Vergeet niet uw naam te vermelden. 2. Schrijf de antwoorden in de daarvoor voorziene ruimte.

Nadere informatie

Waarmaken van Leibniz s droom

Waarmaken van Leibniz s droom Waarmaken van Leibniz s droom Artificiële intelligentie Communicatie & internet Operating system Economie Computatietheorie & Software Efficiënt productieproces Hardware architectuur Electronica: relais

Nadere informatie

Hoofdstuk 7. Computerarchitectuur

Hoofdstuk 7. Computerarchitectuur Hoofdstuk 7 Computerarchitectuur 1 controlebus CPU MEMORY I/O databus adresbus Figuur 71 Schematische opbouw van een computersysteem 8 Figuur 72 Een busverbinding Buslijn Out E A In Out E B In Out E C

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

Technology, Innovation & Society Delft

Technology, Innovation & Society Delft Technology, Innovation & Society Delft VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING TOETSCODE GROEP :Elektrotechniek : HM-ES-th3 :minor embedded systemen TOETSDATUM :16 januari 2012 TIJD : 11.00-12.30 uur

Nadere informatie

Stapelgeheugen. Processoren 6 maart 2012

Stapelgeheugen. Processoren 6 maart 2012 Stapelgeheugen Processoren 6 maart 2012 Programma van komende week 7 maart 8.45: extra vragenuur over HADES 13 maart 8.45: hoorcollege vervalt, maar werkcolleges vinden plaats. Stapelgeheugen Tanenbaum

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

-Een stukje geschiedenis van de PC (personal computer)

-Een stukje geschiedenis van de PC (personal computer) -Een stukje geschiedenis van de PC (personal computer) De pc is bedacht in 1833 Door gebrek aan onderdelen kwam de eerst werkende PC 100 jaar later Gewicht: 35 ton (35.000 kilo!) en kamervullend. Zie de

Nadere informatie

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen Jan Genoe KHLim Situering RAM-geheugens Geheugens Halfgeleider Geheugens Serieel toegankelijk geheugen Willekeurig toegankelijk geheugen Read Only Memory ROM Random Access Memory RAM Statische RAM SRAM

Nadere informatie

Examen besturingssystemen

Examen besturingssystemen Examen besturingssystemen Zaterdag 14 januari 2017, 8u30 Prof. Koen De Bosschere Richting: Naam: Belangrijk 1. Vergeet niet uw naam te vermelden. 2. Schrijf de antwoorden in de daarvoor voorziene ruimte.

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

slides3.pdf April 15, 2002 1

slides3.pdf April 15, 2002 1 Wat is een Operating System? CSN CS3 OS structuur en File management Standaard verzameling software voor een computer Besturing/beheer van de computer Gemak voor schrijvers van programma s Standaarden

Nadere informatie

Hoe werkt een computer precies?

Hoe werkt een computer precies? Hoe werkt een computer precies? Met steun van stichting Edict Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Overview Introductie SIM-PL Simulatietool voor werking computer

Nadere informatie

Beter, Sneller, Mooier. Processoren 27 maart 2012

Beter, Sneller, Mooier. Processoren 27 maart 2012 Beter, Sneller, Mooier Processoren 27 maart 2012 Beter! Sneller! Krach:gere CPU: microcode Snellere CPU: pipeline, out- of- order execu:on Sneller RAM: cache meer mogelijkheden... Welke extra s kan processor-

Nadere informatie

Ekt1. Computer bouwen

Ekt1. Computer bouwen Computer bouwen 1 Inhoudsopgave Inleiding blz. 3 Systemrequirements blz. 3 Benodigdheden blz. 4/5 Conclusies blz. 6 Bronvermelding blz. 6 2 Inleiding Tijdens de les kregen wij de opdracht om een computer

Nadere informatie

Examen Geavanceerde Computerarchitectuur

Examen Geavanceerde Computerarchitectuur Examen Geavanceerde Computerarchitectuur Academiejaar 2007-2008 Maandag 21 januari 2008, 14u00 Prof. dr. ir. L. Eeckhout Naam: Richting: Enkele opmerkingen vooraf: Vul eerst en vooral op ieder blad Uw

Nadere informatie

Digitale technieken Microprocessoren

Digitale technieken Microprocessoren Digitale technieken Microprocessoren ir. Patrick Colleman Inhoudstafel Inhoudstafel. Afkortingen. Inleiding - voorwoord 1 Hoofdstuk 1 : Voorstelling van getallen en karakters. 2 1.1 Voorstelling van binaire

Nadere informatie

De computer als processor

De computer als processor De computer als processor DE FYSIEKE COMPUTER Componenten van de computerconfiguratie Toetsenbord Muis Scanner Microfoon (Extern geheugen) Invoerapparaten Uitvoerapparaten Monitor Printer Plotter Luidspreker

Nadere informatie

Tentamen Computerorganisatie in aug. 1999, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 9

Tentamen Computerorganisatie in aug. 1999, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 9 TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Subfaculteit Technische Wiskunde en Informatica Werkeenheid Technische Informatica Tentamen Computerorganisatie in101 27 aug. 1999,

Nadere informatie

Computerarchitectuur en Netwerken. Computerarchitectuur

Computerarchitectuur en Netwerken. Computerarchitectuur Computerarchitectuur en Netwerken 1 Computerarchitectuur Lennart Herlaar 2 september 2015 Opbouw van het vak Eerst (6 keer) over de opbouw van computer en operating system Collegedictaat Systeemarchitectuur

Nadere informatie

Examen besturingssystemen

Examen besturingssystemen Examen besturingssystemen Dinsdag 6 januari 2009, 14u00 Prof. Koen De Bosschere Richting: Naam: Belangrijk 1. Vergeet niet uw naam te vermelden. 2. Schrijf de antwoorden in de daarvoor voorziene ruimte.

Nadere informatie

computerarchitectuur antwoorden

computerarchitectuur antwoorden 2017 computerarchitectuur antwoorden F. Vonk versie 1 2-8-2017 inhoudsopgave hardware... - 3 - CPU... - 3 - bussen... - 4 - bridges... - 4 - RAM... - 4 - hardware architectuur... - 5 - Dit werk is gelicenseerd

Nadere informatie

DB architectuur.

DB architectuur. DB architectuur joost.vennekens@kuleuven.be DB - logisch perspectief - DB - fysisch perspectief - DBMS Fysische details van databank beheren Zodat gebruiker zich enkel om logische perspectief moet bekommeren

Nadere informatie

Tentamen Computerorganisatie 28 augustus 1998, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 11

Tentamen Computerorganisatie 28 augustus 1998, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 11 TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Subfaculteit Technische Wiskunde en Informatica Werkeenheid Technische Informatica Tentamen Computerorganisatie 28 augustus 1998,

Nadere informatie

Studentnummer:... Opleiding:... a) Met welke term wordt het interface tussen software en hardware van een processor aangeduid?

Studentnummer:... Opleiding:... a) Met welke term wordt het interface tussen software en hardware van een processor aangeduid? Computerorganisatie (213110) Dinsdag 15 augustus 2000, 13.30 17.00 uur 7 bladzijden met 6 opgaven 3 bladzijden met documentatie Bij dit tentamen mag geen gebruik worden gemaakt van boeken, dictaten of

Nadere informatie

Inleiding Practicum Operating Systems

Inleiding Practicum Operating Systems Inleiding Practicum Operating Systems Mattias Holm & Kristian Rietveld Doel - In komende 3 practica zullen we gaan werken met een custom OS. - Kort introduceren van: - Hardware. - Kernel. - Tools. - De

Nadere informatie

ROM en RAM in een ROMforth

ROM en RAM in een ROMforth Albert Nijhof 24/06/2016 ROM en RAM in een ROMforth Vragen Twee HERE's? Voor een forth die in (Flash)ROM compileert is het uitgangspunt dat programmacode en onveranderlijke data naar ROM gaan en veranderbare

Nadere informatie

Beter, Sneller, Mooier. Processoren 12 januari 2015

Beter, Sneller, Mooier. Processoren 12 januari 2015 Beter, Sneller, Mooier Processoren 12 januari 2015 Beter! Sneller! Krachtigere CPU: maak instructies die meer doen Snellere CPU: pipeline, out-of-order execution Sneller RAM: cache meer mogelijkheden...

Nadere informatie

Klas : 5 Industriële ICT Herhalingsvragen reeks 1 PC-techniek

Klas : 5 Industriële ICT Herhalingsvragen reeks 1 PC-techniek Klas : 5 Industriële ICT Herhalingsvragen reeks 1 PC-techniek VTI St.- Laurentius Neem eerst de tekst in het boek door, doe dit enkele keren en probeer uiteraard te onthouden wat je leest. Los nadien de

Nadere informatie

Computer architecturen: Hard Disk technologie

Computer architecturen: Hard Disk technologie : Hard Disk technologie Jan Genoe KHLim Versie : dinsdag 11 juli 2000 1 Historisch perspectief 1973: 1. 7 Mbit/square inch 140 MBytes 1979: 7. 7 Mbit/square inch 2 300 MBytes Bron: New York Times, 2/23/98,

Nadere informatie

Werkstuk Informatica Geheugenbeheer onder DOS en Windows

Werkstuk Informatica Geheugenbeheer onder DOS en Windows Werkstuk Informatica Geheugenbeheer onder DOS en Windows Werkstuk door een scholier 2145 woorden 31 juli 2001 6,6 63 keer beoordeeld Vak Informatica De inleiding: In het begin van het PC tijdperk had men

Nadere informatie

2 Algemene opbouw van een computersysteem

2 Algemene opbouw van een computersysteem Procescomputer E. Gernaat 1 Microprocessoren algemeen Informatie-verwerking zoals behandeld is momenteel vrijwel geheel overgenomen door microprocessoren. Wanneer we voortborduren op het idee van combinatorische

Nadere informatie

Unieke factoren in het menselijk DNA. Jeroen F. J. Laros. Begeleiders: Peter Taschner Hendrik Jan Hoogeboom Walter Kosters

Unieke factoren in het menselijk DNA. Jeroen F. J. Laros. Begeleiders: Peter Taschner Hendrik Jan Hoogeboom Walter Kosters Unieke factoren in het menselijk DNA Jeroen F. J. Laros Begeleiders: Peter Taschner Hendrik Jan Hoogeboom Walter Kosters 1 DNA is opgebouwd uit 4 letters: A, T, C en G Er zijn ongeveer 3 10 9 van deze

Nadere informatie

Samenvatting Computer Architectuur 2006-2007

Samenvatting Computer Architectuur 2006-2007 Controletransferinstructies = Instructies die verandering brengen in de zuiver sequentiële uitvoering van de instructies. Ze doen dit door de instructiewijzer een andere waarde te geven. Ze kunnen met

Nadere informatie

Nederlandse samenvatting (Dutch summary)

Nederlandse samenvatting (Dutch summary) Nederlandse samenvatting (Dutch summary) Ditproefschriftpresenteerteen raamwerk voorhetontwikkelenvanparallellestreaming applicaties voor heterogene architecturen met meerdere rekeneenheden op een chip.

Nadere informatie

voorbeeldvragen Informatietechnologie Foundation ITF.NL editie april 2011 inhoud inleiding 2 voorbeeldexamen 3 antwoordindicatie 8 evaluatie 19

voorbeeldvragen Informatietechnologie Foundation ITF.NL editie april 2011 inhoud inleiding 2 voorbeeldexamen 3 antwoordindicatie 8 evaluatie 19 voorbeeldvragen Informatietechnologie Foundation ITF.NL editie april 2011 inhoud inleiding 2 voorbeeldexamen 3 antwoordindicatie 8 evaluatie 19 EXIN Hét exameninstituut voor ICT ers Janssoenborch, Hoog

Nadere informatie

De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012

De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012 De CPU in detail Hoe worden instruc4es uitgevoerd? Processoren 28 februari 2012 Tanenbaum hoofdstuk 2 von Neumann - architectuur. Tanenbaum, Structured Computer Organiza4on, FiMh Edi4on, 2006 Pearson Educa4on,

Nadere informatie

7,3. Samenvatting door een scholier 1961 woorden 16 januari keer beoordeeld. Informatica Informatica actief

7,3. Samenvatting door een scholier 1961 woorden 16 januari keer beoordeeld. Informatica Informatica actief Samenvatting door een scholier 1961 woorden 16 januari 2009 7,3 126 keer beoordeeld Vak Methode Informatica Informatica actief Hoofdstuk 3 Gespecialiseerde computers: kun je alleen voor een bepaalde functie

Nadere informatie

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Computersysteem Een systeem dat rekenkundige operaties, data manipulaties en beslissingen kan uitvoeren, aan de hand

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

RAM optimaliseren: Cacheman

RAM optimaliseren: Cacheman RAM optimaliseren: Cacheman Windows beheert het geheugen op haar eigen manier, zonder dat u daar in principe veel invloed op heeft. Het programma Cacheman van Outertech kan daar verandering in brengen.

Nadere informatie

Toelichting op modbus-koppeling van Robur toestellen en frames

Toelichting op modbus-koppeling van Robur toestellen en frames Toelichting op modbus-koppeling van Robur toestellen en frames Dit document beschrijft de achtergrondinformatie en belangrijkste adressen voor de modbus-koppeling van Robur warmtepompen en koelmachines

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (2)

De Arduino-microcontroller in de motorvoertuigentechniek (2) De Arduino-microcontroller in de motorvoertuigentechniek (2) E. Gernaat (ISBN 978-90-79302-11-6) 1 Procescomputer 1.1 Microprocessoren algemeen De informatie-verwerking zoals is behandeld, is vrijwel geheel

Nadere informatie

Deel 1. Wat is HDR fotografie?.

Deel 1. Wat is HDR fotografie?. Deel 1. Wat is HDR fotografie?. Inleiding. Met het intrede van de digitale fotografie is ook de beeldbewerkingsoftware in een stroomversnelling geraakt. Eén van de meest recente ontwikkelingen is de High

Nadere informatie

Examen besturingssystemen

Examen besturingssystemen Examen besturingssystemen Vrijdag 3 februari 2006, 9u 12u Prof. Koen De Bosschere Richting: Naam: Belangrijk 1. Vergeet niet uw naam te vermelden. 2. Schrijf de antwoorden in de daarvoor voorziene ruimte.

Nadere informatie

Examen besturingssystemen

Examen besturingssystemen Examen besturingssystemen Vrijdag 30 januari 2004, 14u 17u Prof. Koen De Bosschere Richting: Naam: Belangrijk 1. Vergeet niet uw naam te vermelden. 2. Schrijf de antwoorden in de daarvoor voorziene ruimte.

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy6 2e jaar bachelor AI, 2e semester 21 oktober 213, 9u-11u OMHP D.9 vraag 1 Van een Single Cycle Harvard machine hebben de componenten de volgende propagation delay time:

Nadere informatie

Hoe werkt een rekenmachine?

Hoe werkt een rekenmachine? Hoe werkt een rekenmachine? Uit welke hardware-componenten bestaat een rekenmachine? Welke instructies kan de machine uitvoeren? Practicum met de rekenmachine I Constante getallen Instructies van het type

Nadere informatie

Java virtuele machine JVM

Java virtuele machine JVM Implementatie Java Java virtuele machine JVM Java programma vertaald naar byte code instructies Byte code instructies uitgevoerd door JVM JVM is processor architectuur kan in principe in hardware worden

Nadere informatie

Handleiding CD-Rom Engelse Spelling Bijlage bij het eindwerk van Veerle Tielemans

Handleiding CD-Rom Engelse Spelling Bijlage bij het eindwerk van Veerle Tielemans Inhoudsopgave Inhoudsopgave...i 1. Installatie Engelse Spelling, versie 1.0...1 1.1 Systeemeisen...1 1.1.1 Minimum systeemeisen...1 1.1.2 Aanbevolen systeemeisen...1 1.2 Installatie starten....1 1.3 Het

Nadere informatie

CORA 1.0 Bedrijfs- en ICT-referentiearchitectuur voor woningcorporaties

CORA 1.0 Bedrijfs- en ICT-referentiearchitectuur voor woningcorporaties CORA 1.0 Bedrijfs- en ICT-referentiearchitectuur voor woningcorporaties Hoe zorgen we ervoor dat we nieuwe diensten en producten soepel in onze bedrijfsvoering op kunnen nemen? Hoe geven we betere invulling

Nadere informatie

assembleertaal F. Vonk versie

assembleertaal F. Vonk versie 2017 assembleertaal F. Vonk versie 1 8-8-2017 inhoudsopgave 1. inleiding... - 3-2. Zeer Eenvoudige Processor v2 (ZEP2)... - 4-3. de code, simulator en geheugen... - 6-4. optellen... - 11-5. vermenigvuldigen...

Nadere informatie

Hoe partitioneer ik een hardeschijf?

Hoe partitioneer ik een hardeschijf? Project Hoe partitioneer ik een hardeschijf? Voor: Medewerker ICT Door: Patrick Koning Inleiding In deze opdracht ga je leren hoe je een harde schijf kunt partitioneren, oftewel: een harde schijf indelen

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Hoofdstuk 2. De Von Neumann-architectuur

Hoofdstuk 2. De Von Neumann-architectuur Input Interface Output Interface Informatica Deel III Hoofdstuk 2 De Von Neumann-architectuur 2.1. Organisatie. De overgrote meerderheid der digitale computers zijn georganiseerd zoals weergegeven in fig.

Nadere informatie

Gigaset pro VLAN configuratie

Gigaset pro VLAN configuratie Gigaset pro VLAN configuratie Hogere betrouwbaarheid door gebruik van VLAN s. De integratie van spraak en data stelt eisen aan de kwaliteit van de klanten infrastructuur. Er zijn allerlei redenen waarom

Nadere informatie

Computerarchitectuur. Terugblik / discussie / oefenopgaven

Computerarchitectuur. Terugblik / discussie / oefenopgaven Computerarchitectuur Terugblik / discussie / oefenopgaven Kristian Rietveld http://ca.liacs.nl/ Trends & Performance Voorkennis We bouwden een 4-bit microprocessor bij Digitale Technieken. Bij computerarchitectuur

Nadere informatie

Module 4 Hoofdstuk 1. Programmeertalen

Module 4 Hoofdstuk 1. Programmeertalen Module 4 Hoofdstuk 1 Programmeertalen Programmeertalen Een programmeertaal is een taal waarin de opdrachten worden geschreven die een computer moet uitvoeren Reeksen van die opdrachten of instructies vormen

Nadere informatie

Examen besturingssystemen

Examen besturingssystemen Examen besturingssystemen Vrijdag 11 januari 2008, 13u30 17u00 Prof. Koen De Bosschere Richting: Naam: Belangrijk 1. Vergeet niet uw naam te vermelden. 2. Schrijf de antwoorden in de daarvoor voorziene

Nadere informatie

6 Besturingssystemen. Applicatie Applicatie Applicatie. Operating System. Hardware 1 WAT IS EEN OPERATING SYSTEM?

6 Besturingssystemen. Applicatie Applicatie Applicatie. Operating System. Hardware 1 WAT IS EEN OPERATING SYSTEM? Hoofdstuk 6 Besturingssystemen 6.1 6 Besturingssystemen 1 WAT IS EEN OPERATING SYSTEM? Een computer zonder besturingssysteem is slechts een systeemkast gevuld met onderdelen verbonden door kabels. Je kunt

Nadere informatie

UBC op Microsoft Windows 64-bits

UBC op Microsoft Windows 64-bits UBC op Microsoft Windows 64-bits Inleiding Op de 64-bits varianten van Windows werkt de UBC (en vele andere pakketten) op een andere manier dan op de oudere 32-bits varianten van deze Windows versies.

Nadere informatie

Inhoud vandaag. Interrupts. Algemeen ARM7 AIC

Inhoud vandaag. Interrupts. Algemeen ARM7 AIC Inhoud vandaag Interrupts Algemeen ARM7 AIC Interrupts Wat is een interrupt? Een interrupt is een onderbreking van de huidige bezigheden ten gevolge van een externe gebeurtenis, zodanig dat de bezigheden

Nadere informatie

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Burg.Geyskensstraat 11 3580 BERINGEN De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Versie: vrijdag 2 november 2007 2 Toegepaste informatica 1 De Microprocessor Zowel

Nadere informatie

AndesCore TM N1213-S. AndesCore N1213-S. CPU Core. Configurability for customers

AndesCore TM N1213-S. AndesCore N1213-S. CPU Core. Configurability for customers AndesCore TM N1213-S 1 www.andestech.com AndesCore N1213-S CPU Core 32bit CPU Single issue with 8-stage pipeline Andestar ISA with 16-/32-bit intermixable instructions to reduce code size Dynamic branch

Nadere informatie

PTC USER EVENT 2010 Tips & Tricks

PTC USER EVENT 2010 Tips & Tricks PTC USER EVENT 2010 Tips & Tricks ENGINEERING IN CONTROL Martin Kalter CAD Services NL www.cadservices.nl Agenda Pro/Engineer Tips & Tricks Robuust Modelleren met Pro/E Lite modules Verhoog uw efficiency

Nadere informatie

DB architectuur. joost.vennekens@denayer.wenk.be

DB architectuur. joost.vennekens@denayer.wenk.be DB architectuur joost.vennekens@denayer.wenk.be DB - logisch perspectief - DB - fysisch perspectief - DBMS Fysische details van databank beheren Zodat gebruiker zich enkel om logische perspectief moet

Nadere informatie

computerarchitectuur F. Vonk versie

computerarchitectuur F. Vonk versie 2017 computerarchitectuur F. Vonk versie 1 2-8-2017 inhoudsopgave 1. inleiding... - 3-2. hardware... - 4-3. moederbord... - 5-4. CPU... - 7-5. bussen... - 12-6. bridges... - 15-7. RAM... - 16-8. hardware

Nadere informatie

Conclusies over semaforen

Conclusies over semaforen Conclusies over semaforen gebruik semaforen is subtiel signal & wait operaties, en access van shared data, op allerlei plekken in de code Kan dit niet handiger? Dwz: zijn er geen betere abstracties? Ja:

Nadere informatie

De opzet van het boek 9 De trainingsblokken 10 Complete schema s 11 Een rondleiding door het boek 11

De opzet van het boek 9 De trainingsblokken 10 Complete schema s 11 Een rondleiding door het boek 11 INHOUDSOPGAVE 1 Inleiding 9 De opzet van het boek 9 De trainingsblokken 10 Complete schema s 11 Een rondleiding door het boek 11 2 3 4 5 Doelen stellen 15 Waarom wil ik hardlopen? 16 Wat wil ik bereiken?

Nadere informatie

Manual Debug software. VMC next

Manual Debug software. VMC next Manual Debug software VMC next Land: NL Ned Air bv maart 2012 Ver. 0.05 1 Algemeen... 3 2 Opbouw VMC next... 3 3 VMC Next Debugger... 4 4 Status... 7 5 Registers... 8 5.1 Knoppen... 9 5.1.1 Download...

Nadere informatie

Inleiding. Roger FRANS november 1998. Inleiding - 1

Inleiding. Roger FRANS november 1998. Inleiding - 1 Inleiding In 1994 verschenen in deze reeks de cursussen MS-DOS 6.2 voor beginners en MS-DOS 6.2 voor gevorderden. De cursus MS-DOS onder Windows 98 bevat de onderwerpen uit deze cursussen die ook onder

Nadere informatie