b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.



Vergelijkbare documenten
Studentnummer:... Opleiding:...

Antwoorden zijn afgedrukt!!!!!!!

Toets Digitale Systemen 01/06/2006, uur

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 2e werkcollege

Project Digitale Systemen

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/

Toets Digitale Systemen 31/05/2007, uur

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

scc = b) CD AB

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

Eindtentamen Digitale Systemen 07/07/2006, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

scc =!F3.!F2 b) CD AB

VOORBLAD SCHRIFTELIJKE TOETSEN

Inleiding Digitale Techniek

Antwoorden vragen en opgaven Basismodule

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Eindtentamen Digitale Systemen 18/06/2007, uur

Tentamen Elektronische Schakelingen (ET1205-D2)

Wouter Geraedts Processen & Processoren

Sequentiële schakelingen

Digitale technieken Deeltoets II

Proeftentamen Digitale technieken

Digitale Systeem Engineering 2

clk_32768 mins_up secs_up countdown clear time_zero

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

Digitaal Ontwerp Mogelijke Examenvragen

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

Hoofdstuk 4. Digitale techniek

Deeltoets Digitale technieken

Sequentiële Logica. Processoren 24 november 2014

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

Ontwerp van digitale systemen. in VHDL

Digitale Systemen (EE1 410)

Digitale Systeem Engineering 1

REGISTERS. parallel in - parallel uit bufferregister. De klok bepaalt het moment waarop de data geladen worden. Mogelijke bijkomende ingangen:

Tentamen Elektronische Schakelingen (ET1205-D2)

Digitale Systeem Engineering 1

Toestandentabel van een SR-FF. S R Qn Qn onbep onbep SET SET RESET RESET

Digitale Systeem Engineering 2

OPDRACHTEN PRACTICUM DIGSE1. J.E.J op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 19 maart 2016

Inleiding Digitale Techniek

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

Inleiding Digitale Techniek

Combinatorisch tegenover sequentieel

Logische functies. Negatie

Digitale Systemen (ET1 410)

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN

Lab6: Implementatie video timing generator

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Digitale Systeem Engineering 2

Digitale Systeem Engineering 1

Combinatorische schakelingen

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Inhoudsopgave Gevorderden vorderen het gevorderde

Wouter Geraedts Processen & Processoren

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00

Inleiding Digitale Techniek

Wouter Geraedts Processen & Processoren

Inleiding Digitale Techniek. Week 7 Schuifregisters Jesse op den Brouw INLDIG/

Getalformaten, timers en tellers

THEORIE TALSTELSELS. 1 x 10 0 = 1 (een getal tot de macht 0 = 1) 8 x 10 1 = 80 2 x 10 2 = x 10 3 = Opgeteld: 9281d(ecimaal)

Digitale Systeem Engineering 1

Oefenopgaven nr. 1 Opgave 1.1

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN

Ontwerp je eigen chip (5) poortjes programmatisch verknoopt

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie

Aansturing van een stappenmotor

2 Elementaire bewerkingen

Een flipflop is een digitale schakeling die in staat is een logische "1" of een logische "0" op te slaan en te bewaren in de tijd.

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave):

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

Zelftest Inleiding Programmeren

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Tentamen Computersystemen

Digitale Techniek. Jesse op den Brouw. Een inleiding in het ontwerpen van digitale systemen. Deel 3

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica

Geannoteerde uitwerking tentamen Processoren 30 Januari 2015

Digitale technieken Combinatorische en sequentiële logica

Tellers en Delers Sequentiële schakeling die het aantal ingangspulsen telt Gebaseerd op geheugenelementen (flipflops)

Logica voor Informatici najaar 2000 Opgaven en Oplossingen Hoofdstuk 2

Inleiding Digitale Techniek

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ontwerpmethoden. Doelstelling

RCL Arduino Workshop 1

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen

2 Elementaire bewerkingen

Transcriptie:

Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven plaatsen op dit vragenformulier 2. Vul op de eerste bladzijde uw naam, opleiding en studentnummer in. 3. Vul op de overige bladzijden uw naam in. 4. Lever uitsluitend het antwoordenformulier in en lever altijd alle bladzijden in. 5. Tijdens deze toets is naast het gebruik van schrijfgerei en een rekenmachine toegestaan. De Practicumhandleiding Digitale techniek is bij dit tentamen niet nodig. Andere schriftelijke bronnen en apparatuur (organizers, laptops, etc.) zijn verboden. Naam:... Studentnummer:... Opleiding:... OPGAVE 1 5 + 5 PUNTEN a) Gegeven is het Karnaugh diagram voor uitgang D van een systeem met ingangen W, X, Y en Z. Geef een minimale sum-of-products (SOP) formule voor uitgang D. Y W - 1 1 1 1 0 0 0 - - - - 1 1-0 X Z b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

OPGAVE 2: Gegeven is de formule voor Z (de som van mintermen zijn gegeven met de don t cares (d)) F(A,B,C,D) = m(0, 3, 8, 11, 15), d(a,b,c,d) = m(7, 10, 12) a) Geef een minimale logische formule voor Z als sum-of-products (SOP). SOP Z = b) Geef een minimale logische formule voor Z als products-of-sums (POS). POS Z = 5 + 5 PUNTEN OPGAVE 3 5 + 5 PUNTEN D Q Comb D Q In bovenstaand schema komen twee identieke D-flipflops voor met de volgende relevante parameters: T co (de vertraging tussen het aanpassen van de uitgang Q nadat er een opgaande flank van de klok is geweest), T su (setup tijd) en T h (hold tijd). De combinatoriek (Comb) heeft een minimale vertraging van T min (T min > 0 seconden) en de maximale vertraging is T max. De clock skew is T skew. De overige vertraging in de bedrading wordt verder niet meegenomen. a) Gevraagd wordt de maximale klokfrequentie voor dit systeem (uitgedrukt in bovenstaande parameters). b) Gevraagd wordt de maximaal toelaatbare clock skew (uitgedrukt in bovenstaande parameters). 2

Naam:.. OPGAVE 4 4 + 3 + 4 PUNTEN a) Converteer het niet gehele decimale getal 611,625 naar octaal en hexadecimaal. Octaal Hexadecimaal b) Wat is voor een 8-bits processor het bitpatroon van het decimale getal -20 in achtereenvolgens Signed Magnitude notatie, 1-complement notatie en 2- complement notatie? Signed Magnitude 1-complement 2-complement c) Bij 8-bits 2-complement notatie: wat is het kleinste positieve decimale getal dat bij het decimale getal -20 opgeteld moet worden zodat er voor het eerst een Carry-Out optreedt? 3

OPGAVE 5 7 PUNTEN Voor de communicatie wordt aan de data i een pariteitsbit toegevoegd. Er wordt gebruik gemaakt van even pariteit, d.w.z. het totaal aantal enen in de data i en pariteitsbit is even. Gevraagd wordt de VHDL beschrijving voor de combinatorische schakeling waarmee het dit pariteitsbit o bepaald kan worden. De entity beschrijving is al gegeven. LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY even_parity IS GENERIC (width : integer := 3); PORT (i : IN std_logic_vector(width-1 DOWNTO 0); --data o : OUT std_logic); -- pariteitsbit END even_parity; ARCHITECTURE behaviour OF even_parity IS 4

Naam:.. OPGAVE 6 3 + 3 + 6 PUNTEN Voor de testbaarheid van een systeem wordt naar de controllability en observability gekeken. Geef een omschrijving van deze termen. Omschrijving controllability Omschrijving observability Om een ontwerp testbaar te maken wordt bij het ontwerpen ervan rekening gehouden met de testbaarheid. Dit kan o.a. door het toevoegen van scan-paden. Geef drie nadelen van het toevoegen van scanpaden: 1. 2. 3. 5

OPGAVE 7 5 PUNTEN ENTITY greater IS PORT (in2,in1,in0 : in bit; outp : out bit ); END greater; ARCHITECTURE gates OF greater IS BEGIN outp <= in2 OR (in1 AND in0); END gates; Gegeven is de VHDL beschrijving greater. Is het gegeven schema een correcte realisatie van deze VHDL beschrijving. Motiveer uw antwoord. Is het schema een correcte realisatie: Ja/NEE: Motivatie: 6

Naam:.. OPGAVE 8 5 +7 PUNTEN Gevraagd wordt de toestandsmachine van een seriële optelschakeling. Er zijn 2 dataingangen voor de twee operanden A en B. De beide operanden hebben willekeurige lengte (de lengte van de beide operanden is gelijk). De data wordt van least significant bit naar most significant bit aangeboden. Op elke actieve flank van de klok worden de volgende twee bits van beide operanden aangeboden. Als het n e ingangsbit van beide operanden aanwezig is op de ingang staat op de uitgang het n e bit van het resultaat. Naast beide data-ingangen is er nog een R (reset) ingang. Indien R is 1 wordt de schakeling in de begintoestand gebracht. Als R is 1 is het uitgangssignaal 0. Als R is 0 wordt de optelbewerking zoals hiervoor is beschreven uitgevoerd. 1) Waarom is dit een Mealy toestandsmachine 2) Geef een toestandsmachine met minimum aantal toestanden 7

OPGAVE 9 5 + 5 + 5 PUNTEN Onderstaande toestandstabel beschrijft een toestandmachine van een synchroon systeem. De codering van de vier toestanden is achter de toestand (=state) tussen haakjes gegeven. Voor flipflop Q2 wordt een T-flipflop gebruikt en voor flipflop Q1 wordt een JK-flipflop gebruikt. Verder heeft de schakeling naast de klok ingang nog de ingangen A en B en uitgang Y. next state State AB=00 AB=01 AB=10 AB=11 Y (Q 2 Q 1 ) S0 (00) S0 S0 S2 S2 0 S1 (01) S1 S1 S3 S3 0 S2 (10) S3 S3 S1 S1 0 S3 (11) S3 S2 S1 S0 1 a) Geef een minimale logische formule in SOP vorm voor de T ingang van de T- flipflop Q2. b) Geef een minimale logische formule in SOP vorm voor de K ingang van de JKflipflop Q1. c) Na de ontwerpfase blijkt de T-flipflop niet meer geleverd te kunnen worden maar is er nog wel een JK-flipflop beschikbaar. Kan dit systeem ook gerealiseerd worden met twee JK-flipflops, motiveer uw antwoord Kan het systeem met 2 JK-flipflops worden gerealiseerd? ja/nee Motivatie: 8

Naam:.. OPGAVE 10 8 PUNTEN LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY counter_alt IS GENERIC (w : integer := 8); PORT (clk, load, count : IN std_logic; d : IN unsigned(w-1 downto 0); q : OUT unsigned(w-1 downto 0); co : OUT std_logic); END counter_alt; ARCHITECTURE bhv OF counter_alt IS SIGNAL qi : unsigned(w downto 0); BEGIN PROCESS(clk) BEGIN IF rising_edge(clk) THEN IF load='1' THEN qi <= '0' & d; ELSE qi <= qi + ("" & count); END IF; END IF; END PROCESS; q <= qi(w-1 downto 0); co <= qi(w); END bhv; Bovenstaand ontwerp wordt gebruikt om een BCD teller mee te ontwerpen. Het ontwerp is in onderstaand schema gegeven. (de count ingang is met 1 verbonden en de d ingang is met 0 verbonden). De entity beschrijving van dit ontwerp is gegeven. Gevraagd wordt de architecture beschrijving waarbij gebruik wordt gemaakt van een instantiatie van de entity counter_alt waarbij de generic w de waarde 4 moet krijgen. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY bcd_impl IS PORT (clk : IN std_logic; q : OUT unsigned(3 downto 0)); END bcd_impl; ARCHITECTURE structure OF bcd_impl IS BEGIN END structure; 9

Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven plaatsen op dit vragenformulier 2. Vul op de eerste bladzijde uw naam, opleiding en studentnummer in. 3. Vul op de overige bladzijden uw naam in. 4. Lever uitsluitend het antwoordenformulier in en lever altijd alle bladzijden in. 5. Tijdens deze toets is naast het gebruik van schrijfgerei en een rekenmachine toegestaan. De Practicumhandleiding Digitale techniek is bij dit tentamen niet nodig. Andere schriftelijke bronnen en apparatuur (organizers, laptops, etc.) zijn verboden. Naam:... Studentnummer:... Opleiding:... Antwoorden zijn afgedrukt!!!!!!! OPGAVE 1 5 + 5 PUNTEN a) Gegeven is het Karnaugh diagram voor uitgang D van een systeem met ingangen W, X, Y en Z. Geef een minimale sum-of-products (SOP) formule voor uitgang D. Y W - 1 1 1 1 0 0 0 - - - - 1 1-0 X Z!W.!X +!Y.!Z + W.!Y (voor de laatste term kan ook: W.Z of!x.!y) b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. tekening met 4 NANDs (3 x 2 input en 1 x 3 input) (en enkele inverters)

OPGAVE 2: Gegeven is de formule voor Z (de som van mintermen zijn gegeven met de don t cares (d)) F(A,B,C,D) = m(0, 3, 8, 11, 15), d(a,b,c,d) = m(7, 10, 12) a) Geef een minimale logische formule voor Z als sum-of-products (SOP). SOP Z =!B.!C.!D + C.D b) Geef een minimale logische formule voor Z als products-of-sums (POS). POS Z = (A+!B).(C+!D).(!C+D) 5 + 5 PUNTEN OPGAVE 3 5 + 5 PUNTEN D Q Comb D Q In bovenstaand schema komen twee identieke D-flipflops voor met de volgende relevante parameters: T co (de vertraging tussen het aanpassen van de uitgang Q nadat er een opgaande flank van de klok is geweest), T su (setup tijd) en T h (hold tijd). De combinatoriek (Comb) heeft een minimale vertraging van T min (T min > 0 seconden) en de maximale vertraging is T max. De clock skew is T skew. De overige vertraging in de bedrading wordt verder niet meegenomen. a) Gevraagd wordt de maximale klokfrequentie voor dit systeem (uitgedrukt in bovenstaande parameters). f = 1/ (Tco + Tmax + Tsu + Tskew) b) Gevraagd wordt de maximaal toelaatbare clock skew (uitgedrukt in bovenstaande parameters). Tskew < Tco Th 2

Naam:.. 3

OPGAVE 4 4 + 3 + 4 PUNTEN a) Converteer het niet gehele decimale getal 611,625 naar octaal en hexadecimaal. Octaal 1143,5 Hexadecimaal 263,A b) Wat is voor een 8-bits processor het bitpatroon van het decimale getal -20 in achtereenvolgens Signed Magnitude notatie, 1-complement notatie en 2- complement notatie? Signed Magnitude 10010100 1-complement 11101011 2-complement 11101100 c) Bij 8-bits 2-complement notatie: wat is het kleinste positieve decimale getal dat bij het decimale getal -20 opgeteld moet worden zodat er voor het eerst een Carry-Out optreedt? 20 4

Naam:.. OPGAVE 5 7 PUNTEN Voor de communicatie wordt aan de data i een pariteitsbit toegevoegd. Er wordt gebruik gemaakt van even pariteit, d.w.z. het totaal aantal enen in de data i en pariteitsbit is even. Gevraagd wordt de VHDL beschrijving voor de combinatorische schakeling waarmee het dit pariteitsbit o bepaald kan worden. De entity beschrijving is al gegeven. n 2 p -1-p LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY even_parity IS GENERIC (width : integer := 3); PORT (i : IN std_logic_vector(width-1 DOWNTO 0); --data o : OUT std_logic); -- pariteitsbit END even_parity; ARCHITECTURE behaviour OF even_parity IS BEGIN PROCESS(i) VARIABLE tmp : std_logic; BEGIN tmp := '0'; FOR lp IN i'range LOOP tmp:=tmp XOR i(lp); END LOOP; o <= tmp; END PROCESS; END behaviour; 5

OPGAVE 6 3 + 3 + 6 PUNTEN Voor de testbaarheid van een systeem wordt naar de controllability en observability gekeken. Geef een omschrijving van deze termen. Omschrijving controllability geeft aan hoe in hoeverre nodes in het systeem 1 of 0 gemaakt kunnen worden Omschrijving observability geeft aan in hoeverre de waarde van elke interne node zichtbaar te maken is aan de uitgang Om een ontwerp testbaar te maken wordt bij het ontwerpen ervan rekening gehouden met de testbaarheid. Dit kan o.a. door het toevoegen van scan-paden. Geef drie nadelen van het toevoegen van scanpaden: 1. meer I/O pinnen (scan-input, mode FF) 2. area overhead (scanff) 3. extra delay tgv mux nodig voor scanlogica F 6

Naam:.. OPGAVE 7 5 PUNTEN ENTITY greater IS PORT (in2,in1,in0 : in bit; outp : out bit ); END greater; ARCHITECTURE gates OF greater IS BEGIN outp <= in2 OR (in1 AND in0); END gates; Gegeven is de VHDL beschrijving greater. Is het gegeven schema een correcte realisatie van deze VHDL beschrijving. Motiveer uw antwoord. Is het schema een correcte realisatie: Ja/NEE: ja Motivatie: a=inverteer de statement tweemaal, dan de morgan toepassen Dit resultaat in het schema (2 NANDS en inverter) 7

OPGAVE 8 5 +7 PUNTEN Gevraagd wordt de toestandsmachine van een seriële optelschakeling. Er zijn 2 dataingangen voor de twee operanden A en B. De beide operanden hebben willekeurige lengte (de lengte van de beide operanden is gelijk). De data wordt van least significant bit naar most significant bit aangeboden. Op elke actieve flank van de klok worden de volgende twee bits van beide operanden aangeboden. Als het n e ingangsbit van beide operanden aanwezig is op de ingang staat op de uitgang het n e bit van het resultaat. Naast beide data-ingangen is er nog een R (reset) ingang. Indien R is 1 wordt de schakeling in de begintoestand gebracht. Als R is 1 is het uitgangssignaal 0. Als R is 0 wordt de optelbewerking zoals hiervoor is beschreven uitgevoerd. 1) Waarom is dit een Mealy toestandsmachine De uitgang hangt direct af van de ingang 2) Geef een toestandsmachine met minimum aantal toestanden state input next state Output A B R ------------------+-------------------- S0 - - 1 S0 0 S0 0 0 0 S0 0 S0 0 1 0 S0 1 S0 1 0 0 S0 1 S0 1 1 0 S1 0 S1 - - 1 S0 0 S1 0 0 0 S0 1 S1 0 1 0 S1 0 S1 1 0 0 S1 0 S1 1 1 0 S1 1 8

Naam:.. OPGAVE 9 5 + 5 + 5 PUNTEN Onderstaande toestandstabel beschrijft een toestandmachine van een synchroon systeem. De codering van de vier toestanden is achter de toestand (=state) tussen haakjes gegeven. Voor flipflop Q2 wordt een T-flipflop gebruikt en voor flipflop Q1 wordt een JK-flipflop gebruikt. Verder heeft de schakeling naast de klok ingang nog de ingangen A en B en uitgang Y. next state State AB=00 AB=01 AB=10 AB=11 Y (Q 2 Q 1 ) S0 (00) S0 S0 S2 S2 0 S1 (01) S1 S1 S3 S3 0 S2 (10) S3 S3 S1 S1 0 S3 (11) S3 S2 S1 S0 1 a) Geef een minimale logische formule in SOP vorm voor de T ingang van de T- flipflop Q2. T= A b) Geef een minimale logische formule in SOP vorm voor de K ingang van de JKflipflop Q1. K= Q2 B c) Na de ontwerpfase blijkt de T-flipflop niet meer geleverd te kunnen worden maar is er nog wel een JK-flipflop beschikbaar. Kan dit systeem ook gerealiseerd worden met twee JK-flipflops, motiveer uw antwoord Kan het systeem met 2 JK-flipflops worden gerealiseerd? ja/nee Motivatie: J en K met elkaar verbinden dan heb je een T-FF, of elke FF kan altijd 9

OPGAVE 10 8 PUNTEN LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY counter_alt IS GENERIC (w : integer := 8); PORT (clk, load, count : IN std_logic; d : IN unsigned(w-1 downto 0); q : OUT unsigned(w-1 downto 0); co : OUT std_logic); END counter_alt; ARCHITECTURE bhv OF counter_alt IS SIGNAL qi : unsigned(w downto 0); BEGIN PROCESS(clk) BEGIN IF rising_edge(clk) THEN IF load='1' THEN qi <= '0' & d; ELSE qi <= qi + ("" & count); END IF; END IF; END PROCESS; q <= qi(w-1 downto 0); co <= qi(w); END bhv; Bovenstaand ontwerp wordt gebruikt om een BCD teller mee te ontwerpen. Het ontwerp is in onderstaand schema gegeven. (de count ingang is met 1 verbonden en de d ingang is met 0 verbonden). De entity beschrijving van dit ontwerp is gegeven. Gevraagd wordt de architecture beschrijving waarbij gebruik wordt gemaakt van een instantiatie van de entity counter_alt waarbij de generic w de waarde 4 moet krijgen. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY bcd_impl IS PORT (clk : IN std_logic; q : OUT unsigned(3 downto 0)); END bcd_impl; ARCHITECTURE structure OF bcd_impl IS SIGNAL qi : unsigned(3 DOWNTO 0); SIGNAL load : std_logic; BEGIN cnt : ENTITY work.counter_alt(bhv) GENERIC MAP (w=>4) PORT MAP(clk=>clk,load=>load, count=>'1',d=>"0000",q=>qi,co=>open); load <= qi(0) AND qi(3); q <= qi; 10

Naam:.. END structure; 11