Studentnummer:... Opleiding:...

Vergelijkbare documenten
Antwoorden zijn afgedrukt!!!!!!!

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

scc = b) CD AB

scc =!F3.!F2 b) CD AB

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Antwoorden vragen en opgaven Basismodule

Toets Digitale Systemen 01/06/2006, uur

Wouter Geraedts Processen & Processoren

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 2e werkcollege

Project Digitale Systemen

Tentamen Computersystemen

Toets Digitale Systemen 31/05/2007, uur

Proeftentamen in1211 Computersystemen I (NB de onderstreepte opgaven zijn geschikt voor de tussentoets)

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/

VOORBLAD SCHRIFTELIJKE TOETSEN

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Inleiding Digitale Techniek

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Studentnummer:... Opleiding:... a) Met welke term wordt het interface tussen software en hardware van een processor aangeduid?

Digitale technieken Deeltoets II

Digitaal Ontwerp Mogelijke Examenvragen

Proeftentamen Digitale technieken

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Logische functies. Negatie

Eindtentamen Digitale Systemen 18/06/2007, uur

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis

Digitale Systeem Engineering 2

Wouter Geraedts Processen & Processoren

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

Hoe werkt een computer precies?

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Wouter Geraedts Processen & Processoren

Digitale Systemen (ET1 410)

Interne voorstelling. types en conversies. Binaire en andere talstelsels. Voorstelling van gegevens: bits en bytes

2 Elementaire bewerkingen

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

Deeltoets Digitale technieken

Opleiding: ESE, HAN Opl.variant: vt Groep/Klas: ES2 Digitaal Signaal Ontwerpen 26 januari 2012 Tijd: 13:30 15:00

Digitale Systeem Engineering 2

slides12.pdf December 14,

Talstelsels en getalnotaties (oplmodel)

2 Elementaire bewerkingen

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN

Inleiding Digitale Techniek

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/

Processoren. Marc Seutter & David N. Jansen 10 November 2014

SIM-PL, auteursomgeving voor digitale componenten

In deze mannual zal ik het voorbeeld van de Led cube gebruiken maar de principes zijn op alles toepasbaar.

Processoren. Marc Seutter & David N. Jansen 12 November 2013

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher.

Oefeningen Digitale Elektronica (I), deel 4

Tentamen Computersystemen

Digitale Systeem Engineering 1

Schriftelijke zitting Regeltechniek (WB2207) 3 november 2011 van 9:00 tot 12:00 uur

Hoe werkt een rekenmachine?

Tentamen Elektronische Schakelingen (ET1205-D2)

De Arduino-microcontroller in de motorvoertuigentechniek (2)

Sequentiële schakelingen

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen

Geannoteerde uitwerking tentamen Processoren 30 Januari 2015

Inleiding Digitale Techniek

Tentamen Computerorganisatie in aug. 1999, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 9

1 Rekenen in eindige precisie

Tentamen Inleiding Meten Vakcode 8E april 2009, uur

Digitale Systeem Engineering 1

Digitale Systeem Engineering 2

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2)

Hoofdstuk 4. Digitale techniek

Logica voor Informatici najaar 2000 Opgaven en Oplossingen Hoofdstuk 2

clk_32768 mins_up secs_up countdown clear time_zero

Studiewijzer Digitale Systeemengineering , versie 1.7, , J.E.J. op den Brouw

Tentamen Programmeren in C (EE1400)

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/

Examen computerarchitectuur

Examen computerarchitectuur

Hoofdstuk 20. Talstelsels

Voorblad tentamen ENG

THEORIE TALSTELSELS. 1 x 10 0 = 1 (een getal tot de macht 0 = 1) 8 x 10 1 = 80 2 x 10 2 = x 10 3 = Opgeteld: 9281d(ecimaal)

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

2 Algemene opbouw van een computersysteem

8.1 Herleiden [1] Herleiden bij vermenigvuldigen: -5 3a 6b 8c = -720abc 1) Vermenigvuldigen cijfers (let op teken) 2) Letters op alfabetische volgorde

Digitale Systeem Engineering 1

Inleiding Digitale Techniek. Week 7 Schuifregisters Jesse op den Brouw INLDIG/

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Alleen deze bladen inleveren! Let op je naam, studentnummer en klas

Getalformaten, timers en tellers

ES1 Project 1: Microcontrollers

Inleiding Digitale Techniek

Transcriptie:

Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode, naam docent Kokkeler/Molenkamp ) en zorg er ook voor dat de kopieën goed leesbaar zijn. Er wordt punt in mindering gebracht op het eindcijfer indien dit niet zorgvuldig is gedaan. Aanwijzingen bij het maken van het tentamen:. Beantwoord de vragen uitsluitend op de aangegeven plaatsen op dit vragenformulier 2. Vul op de eerste bladzijde uw naam, opleiding en studentnummer in. 3. Vul op de overige bladzijden uw naam in. 4. Lever altijd alle bladzijden in (documentatie mag worden meegenomen). 5. Tijdens dit tentamen is alleen het gebruik van schrijfgerei en een rekenmachine toegestaan. Andere schriftelijke bronnen en apparatuur (organizers, laptops, etc.) zijn verboden. Naam:... Studentnummer:... Opleiding:... Voor dit tentamen krijgt je maximaal 85 punten van de punten. Voor de opdrachten computerorganisatie krijg je of 5 punten. Is het onderdeel opdrachten computerorganisatie voor het tentamen ingeleverd: OPGAVE : 3 + 5 = 8 PUNTEN Gegeven is de formule voor Z (de som van mintermen zijn gegeven). Met F wordt aangegeven waar de functie Z is en d geeft de don t care s aan. F(A,B,C) = m(,, 2, 4), d(a,b,c) = m(6) a) Geef een minimale logische formule voor Z als sum-of-products (SOP). SOP Z = F(A,B,C,D) = m(5, 6, 7,, 3), d(a,b,c,d) = m(, 5) b) Geef een minimale logische formule voor Z als sum-of-products (SOP). SOP Z =

OPGAVE 2 4 +4 +3 = PUNTEN a) Converteer het niet gehele decimale getal 25,5625 naar octaal en hexadecimaal. Octaal: Hexadecimaal: b) Wat is voor een 8-bits processor het bitpatroon van het decimale getal -24 in achtereenvolgens Signed Magnitude notatie, -complement notatie en 2-complement notatie? Signed Magnitude: -complement: 2-complement: c) Bij 8-bits 2-complement notatie: wat is het kleinste positieve decimale getal dat bij het decimale getal -2 opgeteld moet worden opgeteld zodanig dat de opteller een Carry-Out genereert? Antw: OPGAVE 3 5 + 2 = 7 PUNTEN F =X.Y.Z + X.Y.Z + X.Y.Z a) Bepaal middels formulemanipulatie het complement van F zodat producten van somtermen ontstaan. In het antwoord mogen alleen de inverse van enkelvoudige variabelen voorkomen. TIP: werk dit eerst uit op kladpapier! Antw. b) Welke theorema heb je hierbij gebruikt? Antw. 2

Naam:.. OPGAVE 4 Gegeven zijn de functies: F = A + B + A B C F 2 = A + B + C 7 PUNTEN Beschikbaar is een PLA met vier ingangen en drie uitgangen, bestaande uit vier programmeerbare AND-poorten, twee programmeerbare OR-poorten met vier ingangen en een programmeerbare uitgangsinverter. a) Zet kruisjes in de AND, OR en inversie matrix zodat de gewenste functies gerealiseerd worden. A B C A B 2 A C X Fuse intact 3 B C C C B B A A 4 A B C F F2 OPGAVE 5: 5 PUNTEN Een disassembler kan van machinecode weer assembly maken. Geef van onderstaande machine de daarbij behorende assembly voor de instrcutieset van de simpele processor (zie documentatie) Adres (hex) Machinecode (hex) Assembly 2, 4 2 4 6 8 A C E 2 22, 23, 2, D, 4 B4, 2 52, 24 5, 3 B, 8 C, 3

OPGAVE 6: 5 +2 + 5 + 5 = 7 PUNTEN Gegeven het volgende toestandsdiagram: S S Start state: S Input: X Output: Y S2 S3 a) Vul voor het gegeven toestandsdiagram deze tabel in. Present Next State State Y X= X= S S S2 S3 b) Is de gegeven toestandsmachine een Moore of Mealy machine? Motiveer uw antwoord. Moore/Mealy: Motivatie: 4

Naam:.. Gegeven is de volgende toestandstabel voor een synchrone sequentiële machine met vier toestanden S, S, S2 en S3, met ingangen X en X2 en uitgang Z. De schakeling functioneert volgens de onderstaande tabel. Next state Current X,X2 Output state Z S S S S2 S3 S S S2 S3 S S2 S2 S3 S S S3 S3 S S S2 De schakeling wordt gerealiseerd met twee flipflops, F en F2, waarbij de volgende toestandscodering voor de flipflops (F,F2) wordt gebruikt: S=(,); S=(,); S2=(,); S3=(,). Voor de flipflops worden twee D-flipflops gekozen. c) Vul het Karnaugh-diagram in voor F.D, de D-ingang van flipflop F. F.D X F F2 X2 d) Leid een eenvoudige logische formule af voor de uitgang Z. 5

OPGAVE 7: 5 PUNTEN LIBRARY ieee; USE ieee.std_logic_64.all; USE ieee.numeric_std.all; ENTITY vraagstuk IS PORT (a : IN std_logic; b : IN std_logic; clk : IN std_logic; rst : IN std_logic; y : OUT std_logic); END vraagstuk; ARCHITECTURE gedrag OF vraagstuk IS SIGNAL z : std_logic; BEGIN PROCESS(rst,clk) BEGIN IF rst='' THEN z<= ''; ELSIF rising_edge(clk) THEN z<= a AND b; END IF; END PROCESS; y <= z OR b; END gedrag; Teken een minimaal schema van een realisatie van bovenstaande VHDL beschrijving. Er wordt een synchrone schakeling beschreven. Er mag gebruik worden gemaakt van D-flipflops, logische poorten (and,nand,or, nor, xor) en multiplexers. 6

Naam:.. OPGAVE 8: 2+2+2+3+3+3 = 5 PUNTEN De IEEE heeft ook een 64 bits genormaliseerd floating point formaat gedefinieerd met de volgende eigenschappen: - Tekenbit: bit ( is negatief, is positief) - Exponent: bits in excess 23 code - Mantissa: 52 bits en hidden bit. Punt rechts het hidden bit - Er is geen sprake van een genormaliseerd getal als het exponent veld gevuld is met alleen nullen of alleen enen. Geef als antwoord op onderstaande vragen een exact antwoord (gebruik eventueel machten van 2 in het antwoord). a) Maximale decimale waarde van de mantissa b) Minimale decimale waarde van de mantissa c) Maximale decimale waarde van de exponent d) Geef de bitrepresentatie van het decimale getal eerste bits rechts van de punt te geven) Tekenbit: Exponent: Mantissa: 9 2? (van de mantissa hoef je alleen de 6 e) Geef een formule voor het verschil tussen twee exact te representeren genormaliseerde floating point getallen? f) Hoeveel getallen kunnen exact worden gerepresenteerd als alleen uitgegaan wordt van genormaliseerde getallen? 7

OPGAVE 9: 5+5 PUNTEN a) De instructieset van de single cycle processor (zie documentatie) wil men aanpassen. Een van de instructies wordt verwijderd en moet vervangen worden door de instructie: INC[R] met als gedrag mem[r]!mem[r]+ (Register R van de registerfile bevat het geheugenadres waarvan de inhoud met moet worden opgehoogd). Kan deze instructie worden gerealiseerd met de single cycle processor? Motiveer uw antwoord. Kan de INC[R] worden gerealiseerd: Ja/Nee: Motivatie: b) Om te reageren om externe events kan gebruik worden gemaakt van polling en interrupts. Geeft een korte omschrijving van beide methoden. Polling Interrupt 8