Dynamische Circuitspecialisatie

Maat: px
Weergave met pagina beginnen:

Download "Dynamische Circuitspecialisatie"

Transcriptie

1 Dynamische Circuitspecialisatie Karel Bruneel promotor: prof. Dirk Stroobandt Field Programmable Gate Array Digitale GSM chip TV

2 Gitaareffect 3 Conventionele aanpak Muziek in Instelbaar Lege FPGA Filter Lawaai uit 4 2

3 Conventionele aanpak Muziek in Instelbaar Filter Lawaai uit 5 Conventionele aanpak Muziek in Instelbaar Filter Lawaai uit 6 3

4 Conventionele aanpak Muziek in Instelbaar Filter Lawaai uit 7 Conventionele aanpak Muziek in Instelbaar Filter Lawaai uit 8 4

5 Dynamische circuitspecialisatie goedkope FPGA Muziek in Lege FPGA Filter Gespecialiseerd Lawaai uit 9 Dynamische circuitspecialisatie goedkope FPGA x traag x dure PC Muziek in Gespecialiseerd Filter Lawaai uit 1 5

6 Probleem Genereren van gespecialiseerde FPGA-configuraties Traag generatie (minuten tot uren) Dure hardware (volledige PC) 11 plossing Geparameteriseerde FPGA-configuraties 1A11 111B C1111 A = p AND p 2 B = p 1 C = p 1 R p 2 Druk de FPGA-configuratie uit als functie van de parameters (de positie van de schuivertjes). Evalueer die functie om een gespecialiseerde configuratie te verkrijgen. (fractie van een seconde) 12 6

7 Supersnelle evaluatie 111C A B1111 A = p AND p 2 B = p 1 C = p 1 R p 2 p =, p 1 = 1 p 2 = A = AND B = 1 C = 1 R 1 13 Geparameteriseerde configuraties Sjabloonconfiguratie A = p AND p 2 B = p 1 C = p 1 R p 2 Herconfiguratieprocedure 14 7

8 Gitaareffect Muziek in Lege FPGA Filter Gespecialiseerd Sjabloon Lawaai uit 15 goedkope FPGA supersnel goedkope CPU Muziek in Gitaareffect Gespecialiseerd Filter Lawaai uit 16 8

9 Nieuw probleem Hoe genereer je een geparameteriseerde configuratie? Handmatig is het bijna ondoenbaar en dus duur. 1A11 111B C1111 A = p AND p 2 B = p 1 C = p 1 R p 2 17 plossing Twee methoden om automatisch geparameteriseerde configuraties te genereren. TLUT-methode TCN-methode 18 9

10 Field Programmable Gate Array 19 LUT: Look-Up Table L U T FF 2 1

11 Interconnectie Herconfigureerbaarheid Digitale GSM-chip TV

12 Genereren FPGA-configuratie HDL-ontwerp Synthese Tech.-afbeelding Plaatsing Configuratie Automatisch Computerprogramma Input: Tekstuele beschrijving van de gewenste functionaliteit utput: FPGA-configuratie Routering Tekstuele beschrijving: HDL-ontwerp in in 1 in 2 in 3 sel sel 1 out entity multiplexer is port( sel : in std_logic_vector(1 downto ); in : in std_logic_vector(3 downto ); out : out std_logic ); end multiplexer; architecture behavior of multiplexer is begin out <= in(conv_integer(sel)); end behavior; 24 12

13 Synthese in 3 in 2 sel in 1 in A A N A A sel 1 A N A out 25 Technologie-afbeelding sel 1 in 3 in 2 sel A A N A A A N out A in 1 in K-input LUT: Kan elke Boolese functie met tot K argumenten implementeren. Tech.-afbeelding: Bedek het ingangscircuit met een minimaal aantal subcircuits met K ingangen

14 LUT-circuit sel 1 in 3 in 2 sel L 1 L L 2 out in 1 in entry L L 1 L Plaatsing en Routering in 3 in 2 sel in 1 in in 3 sel L 1 L in 2 L 1 L 2 out sel 1 sel L 2 in 1 L out in Minuten tot uren rekentijd! 28 14

15 FPGA-configuratie waarheidstabellen plaatsing routing FPGA-configuratie Het probleem Hoe genereer je een geparameteriseerde configuratie? 3 15

16 plossing HDL-ontwerp Synthese Tech.-afbeelding Plaatsing Routering Geparam. Conf. Automatisch Computerprogramma Input: Tekstuele beschrijving van de gewenste functionaliteit utput: Geparam. configuratie 1A11 111B C1111 A = p AND p 2 B = p 1 C = p 1 R p 2 31 TLUT-methode 32 16

17 TLUT-methode HDL-ontwerp Synthese Enkel de waarheidstabellen worden aangepast. Alle routering blijft onveranderd Tech.-afbeelding Plaatsing Routering Gepar. Conf L U T FF 33 Geparameteriseerd HDL-ontwerp in in 1 in 2 in 3 sel sel 1 out entity multiplexer is port( --BEGIN PARAM sel : in std_logic_vector(1 downto ); --END PARAM in : in std_logic_vector(3 downto ); out : out std_logic ); end multiplexer; architecture behavior of multiplexer is begin out <= in(conv_integer(sel)); end behavior; 34 17

18 Synthese sel 1 in 3 in 2 sel A A N A A in 1 in Twee soorten ingangen: Gewone ingangen Parameteringangen A N A out 35 Conventionele tech.-afbeelding sel 1 in 3 in 2 sel A A N A A A N out A in 1 in K-input-LUT: Kan elke Boolese functie met tot K argumenten implementeren. Tech.-afbeelding: Bedek het ingangscircuit met een minimaal aantal subcircuits met K ingangen

19 TMAP: Tunable LUT mapping sel 1 in 3 in 2 sel A A N A A A N out A in 1 in Tunable LUT (TLUT) LUT met een geparameteriseerde waarheidstabel Kan elke Boolese functie met tot K gewone en gelijk welk aantal parameterargumenten implementeren. Bedek het ingangscircuit met een minimaal aantal subcircuits met K ingangen en gelijk welk aantal parameteringangen. 37 Probleem: schaalbaarheid sel 1 in 3 in 2 sel in 1 A A N A A A N A out in Naïve aanpak: duurt veel te lang plossing: Weglaten parameterknopen Conventionele tech.- afbeelding Herintroduceren parameterknopen Werkt even snel als conventionele tech.- afbeelding 38 19

20 TLUT-circuit sel sel 1 in 3 L in 2 in 1 in L 1 out entry L 1 1 sel sel sel sel L sel. sel sel. sel 1 1 sel sel sel sel. sel 1 1 sel sel. sel 39 Plaatsing en Routering in 3 in 3 in 2 in 1 in L in 2 L sel sel 1 L 1 in 1 L 1 out in out 4 2

21 Geparameteriseerde configuratie geparameteriseerde waarheidstabelen plaatsing routering 1A11 111B C1111 A = p AND p 2 B = p 1 C = p 1 R p 2 41 Gitaareffect: TLUT-methode Geparam. configuratie Conventioneel Nietrealistisch grootte (LUTs) (-56%) 1146 snelheid (MHz) (+37%) 119 Snelheid generatie (s) Kleiner Hogere Supersnelle (-56%) snelheid generatie (+37%) (5 ordes sneller) Meer Minder Geen functionaliteit NP-harde LUT s = minder problemen één LUT s LUT (plaatsing het langste en routering) pad Functionaliteit Minder Alleen evaluatie LUT s kunnen is van verplaatst Boolese dichter naar functies bij elkaar CPU geplaatst worden 42 21

22 TCN-methode 43 TCN-methode HDL-ontwerp Synthese Tech.-afbeelding ok routering wordt aanpasbaar Nog minder LUT s nodig 1 Plaatsing 1 Routering Gepar. Conf

23 Tunable Connection (TCN) Verbinding die wel of niet gelegd wordt afhankelijk van functie van parameters Implementatie mbhv. routeringselementen C(P) C(P) 45 Tunable Connection (TCN) Voorbeeld: 2-1 multiplexer met 2 TCNS p p 46 23

24 Tunable Connection (TCN) Voorbeeld: 2-1 multiplexer met 2 TCNS p=1 p= 47 Tunable Connection (TCN) Voorbeeld: 2-1 multiplexer met 2 TCNS p= p=

25 Tunable Connection mapping sel 1 in 3 in 2 sel A A N A A A N out A in 1 in Tunable Connection (TCN) verbinding die afhankelijk van de parameterwaarden wel of niet gelegd wordt Elke multiplexer met parameters als selectieingang kan met TCNs geïmplementeerd worden Technology mapping naar combinatie van TCNS en TLUTs Minimaliseer gebruik van TLUTs 49 Tunable Connection mapping in 3 in 2 in 1 in Uitdagingen: Niet steeds alle multiplexers in TCNs om minimaal aantal TLUTs te bekomen sel sel 1 out Structural bias: Multiplexers vaak moeilijk herkenbaar na synthese Vermengd met andere functies die ervoor of erna komen: moet opgesplitst worden in TCN en TLUT deel 5 25

26 Plaatsing en Routering HDL-ontwerp Synthese Tech.-afbeelding Plaatsing Routering Nieuwe plaatser en router Conventionele router: Connecties met verschillende bron mogen niet overlappen (kortsluiting) TCN router: Connecties mogen overlappen zolang ze niet gelijktijdig aanwezig zijn Gepar. Conf. 51 Clos-netwerk: Clos-netwerk 256 ingangen, 256 uitgangen 4x4 crossbar-schakelaars als bouwblokken Elk met 8 controle-ingangen (parameters) Drie implementaties: TLUT-methode Conventioneel TCN-methode TCN TLUT TLUT TCN TLUT TCN TLUT TLUT TCN TLUT TCN TLUT TLUT TCN TLUT TCN TLUT TLUT TCN TLUT 52 26

27 Clos-netwerk Conventioneel LUT s (3.77x) Diepte (8.8x) 3 Draden TLUTmethode TCNmethode Routeringstijd [min] (3.87x) 21 (12x) (3.94x) 19 (13x) 53 Automatisch DCS gebruiken 54 27

28 Problemen Identifying applications that might benefit from DCS is hard for the designer: Know the application very well (What are the slowly changing signals?) Be very familiar with Circuit Specialization (What is the impact of choosing these parameters?) Takes a lot of low level insight In general, DCS results are hard to predict without actually making the DCS implementation Ghent University Computer Systems Lab (CSL) - SRCS212 1 September Solution: Profiling the RTL The RTL profiler, in three steps: 1. List parameter candidates and their dynamic behavior. (Using a test bench with real-life data) 2. Reduce the number of parameter candidates 3. Estimate the impact for each remaining parameter candidate Ghent University Computer Systems Lab (CSL) - SRCS212 1 September

29 Reductie herconfiguratietijd 57 Module gebaseerde herconfiguratie Zeer oppervlakte-efficiente implementatie d.m.v run-time herconfiguratie (RTH) M 1 M 2 M 3 M 12 3 Vereist herschrijven van configuratiegeheugen om te wisselen tussen modes 58 29

30 Conventionele RTH Tool flow wordt voor elke mode afzonderlijk doorlopen Configuratiegeheugen van een volledig gebied herschreven Gevolg: lange herconfiguratietijden 59 Geparameteriseerde configuraties Nieuwe tool flow Merge plaatst connecties van alle modes in 1 set TRoute stimuleert hergebruik van routering tussen modes 6 3

31 Belangrijkste resultaten Speed-up herconfiguratietijd: 61 Belangrijkste resultaten Toename draadlengte: 62 31

32 Dynamische Circuitspecialisatie Karel Bruneel promotor: prof. Dirk Stroobandt 32

Samenvatting Field programmabale gate arrays (FPGA s) Dynamische herconfiguratie.

Samenvatting Field programmabale gate arrays (FPGA s) Dynamische herconfiguratie. Samenvatting Field programmabale gate arrays (FPGA s) zijn heel aantrekkelijk als ontwerpplatform voor digitale systemen. FPGA s zijn geïntegreerde schakelingen die geprogrammeerd of geconfigureerd kunnen

Nadere informatie

Automatiseren van SRL-herconfiguratie

Automatiseren van SRL-herconfiguratie Automatiseren van SRL-herconfiguratie Karel Heyse Promotor: prof. dr. ir. Dirk Stroobandt Begeleiders: ir. Karel Bruneel, Tom Davidson, Brahim Al Farisi Masterproef ingediend tot het behalen van de academische

Nadere informatie

Promotor: prof. dr. ir. Dirk Stroobandt Begeleiders: ir. Karel Bruneel, Fatma Mostafa Mohamed Ahmed Abouelella

Promotor: prof. dr. ir. Dirk Stroobandt Begeleiders: ir. Karel Bruneel, Fatma Mostafa Mohamed Ahmed Abouelella Herconfiguratie van LUT s via hun schuifregisterfunctionaliteit Brahim Al Farisi Promotor: prof. dr. ir. Dirk Stroobandt Begeleiders: ir. Karel Bruneel, Fatma Mostafa Mohamed Ahmed Abouelella Masterproef

Nadere informatie

Herconfigureerbare Hardware in Ieders Bereik

Herconfigureerbare Hardware in Ieders Bereik Herconfigureerbare Hardware in Ieders Bereik Prof. Dirk Stroobandt Universiteit Gent Vakgroep ELIS Onderzoeksgroep PARIS http://www.elis.ugent.be/~dstr/ Overzicht Nood aan digitale verwerking van gegevens

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Dynamisch herconfigureren van multimode-circuits op een FPGA

Dynamisch herconfigureren van multimode-circuits op een FPGA Dynamisch herconfigureren van multimode-circuits op een FPGA Mattias Vanhoutte Promotor: prof. dr. ir. Dirk Stroobandt Begeleiders: ir. Karel Bruneel, Tom Davidson, Brahim Al Farisi Masterproef ingediend

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

SI-Profibus. Unidrive M700-M702 en Siemens S7-300 PLC (STEP 7)

SI-Profibus. Unidrive M700-M702 en Siemens S7-300 PLC (STEP 7) Omschrijving: In dit document wordt stap voor stap uitgelegd hoe met STEP 7 de communicatie opgezet kan worden tussen een Siemens S7-300 PLC en een Unidrive M700 met module. Dit document behandelt de volgende

Nadere informatie

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1 Today s class Digital Logic Friday, October 19, 2007 Computer Architecture I - Class 8 1 Digital circuits Two logical values Binary 0 (signal between 0 and 1 volt) Binary 1 (signal between 2 and 5 volts)

Nadere informatie

Jan Genoe KHLim. VHDL Inleiding. In dit hoofdstuk situeren we het steeds toenemende belang van VHDL in het elektronisch ontwerp.

Jan Genoe KHLim. VHDL Inleiding. In dit hoofdstuk situeren we het steeds toenemende belang van VHDL in het elektronisch ontwerp. inleiding Inleiding Jan Genoe KHLim In dit hoofdstuk situeren we het steeds toenemende belang van in het elektronisch ontwerp. 1 inleiding Doelstellingen en behandelde topics doelstelling: de voornaamste

Nadere informatie

WiFi is een shared medium. Hogere snelheid -> meer clients

WiFi is een shared medium. Hogere snelheid -> meer clients Inhoudsopgave Algemene uitleg over de technieken van WiFi De troef van Ruckus De toekomst van WiFi Ruckus Management Ruckus Access Points Authenticatie en encryptie mogelijkheden WiFi is een shared medium

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

HANDLEIDING - ACTIEVE MOTORKRAAN

HANDLEIDING - ACTIEVE MOTORKRAAN M A N U A L HANDLEIDING - ACTIEVE MOTORKRAAN MANUAL - ACTIVE MOTOR VALVE Model E710877 E710878 E710856 E710972 E710973 www.tasseron.nl Inhoud / Content NEDERLANDS Hoofdstuk Pagina NL 1 ALGEMEEN 2 NL 1.1

Nadere informatie

Engels op Niveau A2 Workshops Woordkennis 1

Engels op Niveau A2 Workshops Woordkennis 1 A2 Workshops Woordkennis 1 A2 Workshops Woordkennis 1 A2 Woordkennis 1 Bestuderen Hoe leer je 2000 woorden? Als je een nieuwe taal wilt spreken en schrijven, heb je vooral veel nieuwe woorden nodig. Je

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

Agenda. Voorstellen. Uw applicatie. Technische context. Project aanpak

Agenda. Voorstellen. Uw applicatie. Technische context. Project aanpak Agenda Voorstellen Uw applicatie Technische context Project aanpak Batenburg Data Vision X-Ray UV VIS NIR SWIR MWIR LWIR X-Ray Ultra Violet Visible Near IR Short Wave Mid Wave Long Wave 0.01-10 nm 10-400

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

Hoe zet ik een geslaagd SBOproject

Hoe zet ik een geslaagd SBOproject Hoe zet ik een geslaagd SBOproject op? Prof. Dirk Stroobandt Universiteit Gent, groep PARIS pag. 1 Eigen situatie Als hoofdaanvrager: GBOU-project RESUME (2003-2006) SBO-project FlexWare (2007-2010) Als

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Introductie in flowcharts

Introductie in flowcharts Introductie in flowcharts Flow Charts Een flow chart kan gebruikt worden om: Processen definieren en analyseren. Een beeld vormen van een proces voor analyse, discussie of communicatie. Het definieren,

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

Johto. Flexible light

Johto. Flexible light Johto Flexible light Johto is a high quality lighting system based on LED for technically sophisticated interior and exterior light. It provides homogeneous and dot free illumination in very low installation

Nadere informatie

Inregelvoorschriften optische nodes. Inregelvoorschriften universele versterkers

Inregelvoorschriften optische nodes. Inregelvoorschriften universele versterkers Inregelvoorschriften optische nodes Node Teleste AC800 Z Node Vector BETA Pro Inregelvoorschriften universele versterkers GV en EV Technetix DBC-1200 Examen Telecom CAI Onderdeel 2B CO Bijlage: 5 Inregelvoorschriften

Nadere informatie

Qmicro B.V. Vincent Spiering Business development manager. Voor de ledenbijeenkomst BCS Enschede, 10 december 2014

Qmicro B.V. Vincent Spiering Business development manager. Voor de ledenbijeenkomst BCS Enschede, 10 december 2014 Qmicro B.V. Vincent Spiering Business development manager Voor de ledenbijeenkomst BCS Enschede, 10 december 2014 About Qmicro Qmicro develops and manufactures solutions enabled by micro chip technology

Nadere informatie

GETTING THE BEST OUT OF YOUR SOURCE CODE FIT TEST VOOR UNIFACE

GETTING THE BEST OUT OF YOUR SOURCE CODE FIT TEST VOOR UNIFACE GETTING THE BEST OUT OF YOUR SOURCE CODE FIT TEST VOOR UNIFACE 2 DIGITALISATIE VEREIST: Toegevoegde waarde Agility en snelheid Security en betrouwbaarheid 3 COMBINATIE BUSINESS & IT BUSINESS TECHNOLOGY

Nadere informatie

Dynamisch herconfigureerbare partoonherkenning voor reguliere expressies op FPGA

Dynamisch herconfigureerbare partoonherkenning voor reguliere expressies op FPGA Dynamisch herconfigureerbare partoonherkenning voor reguliere expressies op FPGA Mattias Merlier Promotor: prof. dr. ir. Dirk Stroobandt Begeleiders: ir. Karel Bruneel, ir. Tom Davidson, ir. Brahim Al

Nadere informatie

Prognostics en Health Monitoring (PHM) als ontwerp-input. Bert Stek, Dopple B.V.

Prognostics en Health Monitoring (PHM) als ontwerp-input. Bert Stek, Dopple B.V. Prognostics en Health Monitoring (PHM) als ontwerp-input Bert Stek, Dopple B.V. Agenda 1. Introductie Dopple en producten 2. Ontwerp en gebruik 3. Prognostics monitoring 4. Health monitoring 5. Conclusie

Nadere informatie

Een intelligent DMX netwerk

Een intelligent DMX netwerk WORKSHOP STEPP Een intelligent DMX netwerk WORKSHOP STEPP Wat is DMX? Een intelligent DMX netwerk Demo opstelling Probleem oplossing Wat is DMX? Hoe is het DMX signaal ontstaan DMX in de praktijk Hoe

Nadere informatie

FOR DUTCH STUDENTS! ENGLISH VERSION NEXT PAGE. Toets Inleiding Kansrekening 1 22 februari 2013

FOR DUTCH STUDENTS! ENGLISH VERSION NEXT PAGE. Toets Inleiding Kansrekening 1 22 februari 2013 FOR DUTCH STUDENTS! ENGLISH VERSION NEXT PAGE Toets Inleiding Kansrekening 1 22 februari 2013 Voeg aan het antwoord van een opgave altijd het bewijs, de berekening of de argumentatie toe. Als je een onderdeel

Nadere informatie

Nee verkopen of voorraadoverschot? IBP S&OP in uw organisatie!

Nee verkopen of voorraadoverschot? IBP S&OP in uw organisatie! Nee verkopen of voorraadoverschot? IBP S&OP in uw organisatie! Agenda 1. Introductie 2. IBP S&OP 3. Architectuur IBP 4. Rapid Deployment Solution IBP 5. Samenvatting Introductie Quinso Focus op Integrated

Nadere informatie

GEEN ZIN IN OVERTYPEN?

GEEN ZIN IN OVERTYPEN? GEEN ZIN IN OVERTYPEN? VERSNEL UW BUSINESS DOOR: AUTOMATISCHE DATA INVOER W ORKFLOW VOOR GOEDKEURING TIJDENS HET INKOOPPROCES DIGITAAL DOCUMENT ARCHIEF DOCUMENT CAPTURE Document Capture voor Dynamics NAV

Nadere informatie

Multi user Setup. Firebird database op een windows (server)

Multi user Setup. Firebird database op een windows (server) Multi user Setup Firebird database op een windows (server) Inhoudsopgave osfinancials multi user setup...3 Installeeren van de firebird database...3 Testing van de connectie met FlameRobin...5 Instellen

Nadere informatie

AMBIENT REALITY EFFECTS

AMBIENT REALITY EFFECTS JIJ Games Films WIJ Ambient Light Hitte herkenning REALITY EFFECTS LED VERLICHTING ROOK POTTEN Virtuele Werelden A-R-E Vuur herkenning A-R-E Van kleur veranderende lichtfontein Muziek Visualisaties Rook

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

Johto. Flexible light

Johto. Flexible light Johto Flexible light Johto is a high quality lighting system based on LED for technically sophisticated interior and exterior light. It provides homogeneous and dot free illumination in very low installation

Nadere informatie

SI-Profinet. Unidrive M700 en Siemens S7-300 PLC (Step 7)

SI-Profinet. Unidrive M700 en Siemens S7-300 PLC (Step 7) Omschrijving: In dit document wordt stap voor stap uitgelegd hoe met Simatic Step 7 de communicatie opgezet kan worden tussen een Siemens S7-00 PLC en een Unidrive M700 met V2 module. Dit document behandelt

Nadere informatie

Technologie: TI-Nspire CX CAS Niveau: beginner

Technologie: TI-Nspire CX CAS Niveau: beginner Introductie : Statistiek met de TI-Nspire CX CAS Met de TI-Nspire hebben we een groot aantal statistische functies tot onze beschikking die het rekenwerk binnen de beschrijvende statistiek vergemakkelijken.

Nadere informatie

Het moederbord van de zendontvanger "PiligrimPro"

Het moederbord van de zendontvanger PiligrimPro Ruwe vertaling PA3ECT, deze is niet verantwoordelijk voor vertaal fouten. Het moederbord van de zendontvanger "PiligrimPro" Dankzij de op moderne componenten gebaseerde efficiënte ontwerp technieken, heeft

Nadere informatie

Migratie proces van Unilab6.4 naar SIMATIC IT R&D Suite 7. Lut Van Gorp

Migratie proces van Unilab6.4 naar SIMATIC IT R&D Suite 7. Lut Van Gorp Migratie proces van Unilab6.4 naar SIMATIC IT R&D Suite 7 Lut Van Gorp We sluiten materiaalkringlopen, een ecologische en economische must. 2 Inhoud 3 Story of Indaver Lims bij Indaver Waarom migreren

Nadere informatie

Internet of Things (IoT)

Internet of Things (IoT) Internet of Things (IoT) KNX visie en strategische richting Een nieuwe kijk op KNX implementaties Martin van Ling, Hestia Domotica B.V. KNX Professionals, 22 juni 2017 KNX IoT Huidige KNX ecosysteem (KNXnet/

Nadere informatie

Quality Automation Day

Quality Automation Day Quality Automation Day Sogeti & TOSCA Praktijkvoorbeelden van TOSCA Ferrie Wolff Practice Lead TOSCA ferrie.wolff@sogeti.com 2 What s on the menu? Kennismaking TOSCA Overzicht opdrachten Verdieping in

Nadere informatie

Firewall van de Speedtouch 789wl volledig uitschakelen?

Firewall van de Speedtouch 789wl volledig uitschakelen? Firewall van de Speedtouch 789wl volledig uitschakelen? De firewall van de Speedtouch 789 (wl) kan niet volledig uitgeschakeld worden via de Web interface: De firewall blijft namelijk op stateful staan

Nadere informatie

CTI SUITE TSP DETAILS

CTI SUITE TSP DETAILS CTI SUITE TSP DETAILS TAPI allows an application to access telephony services provided by a telecom PABX. In order to implement its access to ETRADEAL, a TAPI interface has been developed by Etrali. As

Nadere informatie

Competencies atlas. Self service instrument to support jobsearch. Naam auteur 19-9-2008

Competencies atlas. Self service instrument to support jobsearch. Naam auteur 19-9-2008 Competencies atlas Self service instrument to support jobsearch Naam auteur 19-9-2008 Definitie competency The aggregate of knowledge, skills, qualities and personal characteristics needed to successfully

Nadere informatie

QUICK START. IP cam viewer.

QUICK START. IP cam viewer. QUICK START IP cam viewer www.profile.eu 1 Hardware Installation Verbind de camera met de stroom via de meegeleverde adaptor Verbind de camera met uw router via de meegeleverde netwerkkabel Verbind de

Nadere informatie

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave):

Scan-pad technieken. Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): Zet elk register om in een scan-pad register (twee opeenvolgende D-latches: master-slave): D is de normale data ingang C is de normale fase 1 klok I is de data ingang van het shift-regiester A is de klok

Nadere informatie

Naar High Throughput DNA data analyse

Naar High Throughput DNA data analyse Naar High Throughput DNA data analyse Laboratorium automatisering seminar Jan-Peter Nap Hanze University Groningen 8/10/10 1 van 24 gisteren CLC bio, a comprehensive platform for NGS analysis nu: Super-scale

Nadere informatie

AKTIEVE MOTORKRAAN - ACTIVE MOTORVALVE

AKTIEVE MOTORKRAAN - ACTIVE MOTORVALVE AKTIEVE MOTORKRAAN - ACTIVE MOTORVALVE HANDLEIDING - MANUAL V1.2 Membraan afsluiter Membrane valve Naald afsluiter Needle valve Inhoud / Content NEDERLANDS Hoofdstuk Pagina NL 1 ALGEMEEN 2 NL 1.1 De aktieve

Nadere informatie

Activant Prophet 21. Prophet 21 Version 12.0 Upgrade Information

Activant Prophet 21. Prophet 21 Version 12.0 Upgrade Information Activant Prophet 21 Prophet 21 Version 12.0 Upgrade Information This class is designed for Customers interested in upgrading to version 12.0 IT staff responsible for the managing of the Prophet 21 system

Nadere informatie

Azure en BI: niet alleen voor grote bedrijven

Azure en BI: niet alleen voor grote bedrijven Azure en BI: niet alleen voor grote bedrijven 18.00 18.15 uur: welkom 18.15 19.15 uur: Jeroen ter Heerdt 19.15 19.45 uur: Pauze 19.45 20.30uur: Wouter & Martijn 20.30 20.45 uur: afsluiting en aansluitend

Nadere informatie

Process Mining and audit support within financial services. KPMG IT Advisory 18 June 2014

Process Mining and audit support within financial services. KPMG IT Advisory 18 June 2014 Process Mining and audit support within financial services KPMG IT Advisory 18 June 2014 Agenda INTRODUCTION APPROACH 3 CASE STUDIES LEASONS LEARNED 1 APPROACH Process Mining Approach Five step program

Nadere informatie

GOVERNMENT NOTICE. STAATSKOERANT, 18 AUGUSTUS 2017 No NATIONAL TREASURY. National Treasury/ Nasionale Tesourie NO AUGUST

GOVERNMENT NOTICE. STAATSKOERANT, 18 AUGUSTUS 2017 No NATIONAL TREASURY. National Treasury/ Nasionale Tesourie NO AUGUST National Treasury/ Nasionale Tesourie 838 Local Government: Municipal Finance Management Act (56/2003): Draft Amendments to Municipal Regulations on Minimum Competency Levels, 2017 41047 GOVERNMENT NOTICE

Nadere informatie

Testing University. A fool with a tool is still a fool

Testing University. A fool with a tool is still a fool Testing University A fool with a tool is still a fool Test Tooling is een must Must? Test Tooling? 2 Als je iets moet kun je dan wel de juiste keuzes maken? Moeten Willen 3 Van moeten naar willen Moeten

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Microsoft Dynamics 365 / Office 365

Microsoft Dynamics 365 / Office 365 Microsoft Dynamics 365 / Office 365 Jeroen Paters Sales & Business Development Dynamics 365 Mobile : +31 (0) 6 2953 1467 E-mail: jeroen.paters@prodware.nl Agenda Microsoft Dynamics 365 for Sales (CRM)

Nadere informatie

Welkom bij het Machinebouw event 20

Welkom bij het Machinebouw event 20 Welkom bij het Machinebouw event 20 Digitale revolutie Slimme en verbonden mechatronische systemen Robert Vincente Robert Vincente Machinebouw event 2018 1 Uitdagingen van de machinebouw industrie Speed

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Quick start guide. Powerbank MI Mah. Follow Fast All rights reserved. Page 1

Quick start guide. Powerbank MI Mah. Follow Fast All rights reserved. Page 1 Quick start guide Powerbank MI 16.000 Mah Follow Fast 2016 - All rights reserved. Page 1 ENGLISH The Mi 16000 Power Bank is a very good backup option for those on the move. It can keep you going for days

Nadere informatie

TC_DCM Inleiding Input

TC_DCM Inleiding Input TC_DCM 10-03-2008 Inleiding De TC_DCM (Tele Controls Data Collection Module) is een module om dataloggers van het type Optimodem en Atlas uit te lezen. De gebruiker dient zelf een applicatie te maken die

Nadere informatie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie www.arduino.cc Arduino en DDS DDS chips DDS = Direct Digital (frequency) Synthesis Output = sinusvormig signaal Maximum frequentie = ½ klokfrequentie Frequentie bepaald door tuning word Grootste fabrikant:

Nadere informatie

Handleiding Installatie ADS

Handleiding Installatie ADS Handleiding Installatie ADS Versie: 1.0 Versiedatum: 19-03-2014 Inleiding Deze handleiding helpt u met de installatie van Advantage Database Server. Zorg ervoor dat u bij de aanvang van de installatie

Nadere informatie

1 Aanvulling cosy deeltijd

1 Aanvulling cosy deeltijd 1 Aanvulling cosy deeltijd 1.1 Multiprocessor versus multicomputer Het kenmerk van een multiprocessor is dat meer CPU hetzelfde geheugen delen. Voordeel van deze aanpak is het relatief eenvoudige programmeermodel.

Nadere informatie

Computerhandleiding Proteus MTM-7500 (2003)

Computerhandleiding Proteus MTM-7500 (2003) Computerhandleiding Proteus MTM-7500 (2003) Deze computer is ontworpen voor gemotoriseerde loopbanden en wordt geïntroduceerd met de volgende onderwerpen: Functie knoppen De schermen Werkingsinstructies

Nadere informatie

Connected Assets, de next step in buitendienstautomatisering. Nush Cekdemir Service & Maintenance Congres, 31 maart 2011

Connected Assets, de next step in buitendienstautomatisering. Nush Cekdemir Service & Maintenance Congres, 31 maart 2011 Connected Assets, de next step in buitendienstautomatisering Nush Cekdemir Service & Maintenance Congres, 31 maart 2011 Wie is Tensing? ± 75 ervaren specialisten Financieel gezond, vooruitzichten 2011

Nadere informatie

STORAGE AUTOMATION IT MANAGEMENT & OPTIMIZATION DATAGROEI DE BAAS MET EXTREEM BEHEERGEMAK DOOR AUTOMATISERING EN VIRTUALISATIE

STORAGE AUTOMATION IT MANAGEMENT & OPTIMIZATION DATAGROEI DE BAAS MET EXTREEM BEHEERGEMAK DOOR AUTOMATISERING EN VIRTUALISATIE IT MANAGEMENT & OPTIMIZATION STORAGE AUTOMATION DATAGROEI DE BAAS MET EXTREEM BEHEERGEMAK DOOR AUTOMATISERING EN VIRTUALISATIE EEN EFFECTIEVE EN KOSTENEFFICIËNTE OPLOSSING VOOR DATAGROEI De druk op systeembeheerders

Nadere informatie

256 kb Memory in NMS 8250, 8255 and 8280

256 kb Memory in NMS 8250, 8255 and 8280 256 kb Memory in NMS 8250, 8255 and 8280 Supplied by Bastiaan Huber, 2001 Converted to PDF by HansO, 2001 Dutch text follows the english text! MEMORY-UPGRADE to 256Kb This description is only for people

Nadere informatie

Inregelvoorschriften optische nodes. Inregelvoorschriften universele versterkers

Inregelvoorschriften optische nodes. Inregelvoorschriften universele versterkers Inregelvoorschriften optische nodes Node Teleste AC800 Z Node Vector BETA Pro Inregelvoorschriften universele versterkers GV en EV Technetix DBC-1200 GV en EV Vector Lambda Pro 45 GV en EV Hirschmann UA1000

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Towards a competitive advantage

Towards a competitive advantage Towards a competitive advantage 9 Mei 2017 www.nxtport.eu PANTONE Blue 654 C 1 Agenda NxtPort Context NxtPort Concept, Spelregels, Inkomstenmodel Kort Overzicht van de Architectuur/Functionaliteit Praktisch:

Nadere informatie

Installatie & Configuratiehandleiding. Socket Server. OpenAT applicatie

Installatie & Configuratiehandleiding. Socket Server. OpenAT applicatie Installatie & Configuratiehandleiding Socket Server OpenAT applicatie 2009 / 05 / 29 2 ! OPGEPAST! GEVAAR VOOR ELECTRISCHE SCHOKKEN BIJ VERWIJDERING BESCHERMEND DEKSEL VAN INDUBOX GSM IV ONDERHOUD ENKEL

Nadere informatie

Computerarchitectuur. Terugblik / discussie / oefenopgaven

Computerarchitectuur. Terugblik / discussie / oefenopgaven Computerarchitectuur Terugblik / discussie / oefenopgaven Kristian Rietveld http://ca.liacs.nl/ Trends & Performance Voorkennis We bouwden een 4-bit microprocessor bij Digitale Technieken. Bij computerarchitectuur

Nadere informatie

SI-Profinet. Unidrive M200-M400 en Siemens S PLC (TIA portal)

SI-Profinet. Unidrive M200-M400 en Siemens S PLC (TIA portal) Omschrijving: In dit document wordt stap voor stap uitgelegd hoe met TIA portal de communicatie opgezet kan worden tussen een Siemens S7-500 PLC en een Unidrive M400 met V2 module. Dit document behandelt

Nadere informatie

33.City of Things: Uit Antwerpen, Weldra in uw gemeente?

33.City of Things: Uit Antwerpen, Weldra in uw gemeente? 33.City of Things: Uit Antwerpen, Weldra in uw gemeente? Jan Adriaenssens Director City of Things imec Cities are never random. No matter how chaotic they might seem, everything about them grows out of

Nadere informatie

AI en Software Testing op de lange termijn

AI en Software Testing op de lange termijn AI en Software Testing op de lange termijn Is het een appel? Traditioneel programmeren AI Kleur = rood, groen, geel Vorm = rond Textuur = glad Artificial Intelligence Machine Learning Methods Technologies

Nadere informatie

Aanvliegroute en Risicominimalisatie bij Auto ID Project

Aanvliegroute en Risicominimalisatie bij Auto ID Project Aanvliegroute en Risicominimalisatie bij Auto ID Project Deze lezing gaat over de kwaliteit en de plaats van de te lezen codes of tekst. Beide aspecten hebben consequenties voor de te kiezen sensoren en

Nadere informatie

Behandeleffecten. in Forensisch Psychiatrisch Center de Rooyse Wissel. Treatment effects in. Forensic Psychiatric Centre de Rooyse Wissel

Behandeleffecten. in Forensisch Psychiatrisch Center de Rooyse Wissel. Treatment effects in. Forensic Psychiatric Centre de Rooyse Wissel Behandeleffecten in Forensisch Psychiatrisch Center de Rooyse Wissel Treatment effects in Forensic Psychiatric Centre de Rooyse Wissel S. Daamen-Raes Eerste begeleider: Dr. W. Waterink Tweede begeleider:

Nadere informatie

DYNAMIC INFRASTRUCTURE Helping build a smarter planet

DYNAMIC INFRASTRUCTURE Helping build a smarter planet Ronald.geuze@nl.ibm.com, Ronald.vanteeffelen@nl.ibm.com Consolidatie en Virtualisatie van Intel en UNIX platformen de praktijk 18/03/2009 DYNAMIC INFRASTRUCTURE Helping build a smarter planet 2009 IBM

Nadere informatie

PIJNHERKENNING MET CAMERA S

PIJNHERKENNING MET CAMERA S PIJNHERKENNING MET CAMERA S monitoren van discomfort bij dementerende bejaarden op basis van automatische beeldherkenning ing. Bert Bonroy, dr B. Vanrumste KHKempen MOBILAB ir. Pieter Schiepers, ir. D.

Nadere informatie

From business transactions to process insights. BPM Round Table, TU/e 26 mei 2014

From business transactions to process insights. BPM Round Table, TU/e 26 mei 2014 From business transactions to process insights BPM Round Table, TU/e 26 mei 2014 Agenda 1 2 3 4 Korte introductie Process mining in de audit Enkele voorbeelden Uitdagingen & de toekomst 1 Korte introductie

Nadere informatie

Digitale Systemen (EE1 410)

Digitale Systemen (EE1 410) Digitale Systemen (EE1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 26-4-2011 ET1 410 (Stephan Wong) Pagina 1 Samenvatting 1 ste college Wat is VHDL? Waarvoor

Nadere informatie

Inleiding Software Engineering! Unit Testing, Contracten, Debugger! 13 Februari 2014!

Inleiding Software Engineering! Unit Testing, Contracten, Debugger! 13 Februari 2014! Inleiding Software Engineering Unit Testing, Contracten, Debugger 13 Februari 2014 Beknopte info over Unit Testing en Contracten kan je vinden op het einde van dit document. Eclipse beschikt over een handige

Nadere informatie

Design Data Management voor FPGA ontwikkeling

Design Data Management voor FPGA ontwikkeling Design Data Management voor FPGA ontwikkeling Al snel heb je bij electronica ontwikkeling met Design Data Management te maken, zo ook bij FGPA ontwikkeling. Er wordt immers code gegenereerd die beheerd

Nadere informatie

(On)Doenlijke problemen

(On)Doenlijke problemen Fundamentele Informatica In3 005 Deel 2 College 1 Cees Witteveen Parallelle en Gedistribueerde Systemen Faculteit Informatie Technologie en Systemen Overzicht Inleiding - Relatie Deel 1 en Deel 2 - Doenlijke

Nadere informatie

What s your next move?

What s your next move? DIGITAL TRANSFORMATION What s your next move? The business landscape is changing at a fast pace. Today s challenge is to leverage the power of digital transformation to stay ahead of the game. I N S P

Nadere informatie

Automated scoring in mathematics: tinning intelligence?

Automated scoring in mathematics: tinning intelligence? Automated scoring in mathematics: tinning intelligence? Paul Drijvers paul.drijvers@cito.nl Johanna Hofstee joke.hofstee@cito.nl 09-02-2017 Stichting Cito Instituut voor Toetsontwikkeling Arnhem (feb-17)

Nadere informatie

VALUE ENGINEERING: THE H E G A G ME! E

VALUE ENGINEERING: THE H E G A G ME! E VALUE ENGINEERING: THE GAME! Involvement Process for Technical Projects Feedback/Learning/Knowledge Management Involvem ment Business Process Engineering Estimating Project Director Detailed Engineering

Nadere informatie

Handleiding ISaGRAF. Wil men het programma bewaren, dan is het verstandig een back-up te maken: C9 Back-up / Restore

Handleiding ISaGRAF. Wil men het programma bewaren, dan is het verstandig een back-up te maken: C9 Back-up / Restore Handleiding ISaGRAF C Handleiding ISaGRAF Deze handleiding beoogt een korte samenvatting te geven van handelingen die verricht moeten worden om met behulp van ISaGRAF een PLC-programma te schrijven en

Nadere informatie

Plug and Play in de machinebouw. Zelf configurerende machines

Plug and Play in de machinebouw. Zelf configurerende machines Plug and Play in de machinebouw Zelf configurerende machines Kort voorstellen IMS ontwikkelt hightech productielijnen 80 professionals Productielijnen voor hoog volume samengestelde producten Uniek, schaalbaar

Nadere informatie

FOUNDATION Fieldbus niet moeilijker, wel anders John van Leeuwen SPIE Nederland BV

FOUNDATION Fieldbus niet moeilijker, wel anders John van Leeuwen SPIE Nederland BV FOUNDATION Fieldbus niet moeilijker, wel anders John van Leeuwen SPIE Nederland BV Wat is er nodig om een FF project te doen? FOUNDATION Fieldbus technologie vereist de volgende veranderingen in aanpak

Nadere informatie

René Bos, T&M Consultant. Den Bosch 14 juni 2018

René Bos, T&M Consultant. Den Bosch 14 juni 2018 René Bos, T&M Consultant Den Bosch 14 juni 2018 Batterij Emulatie Area of Expertise Measurement know-how Application know-how Batterij Emulatie Batterij Emulatie De elektrochemische cel Opbouw cel Waarom

Nadere informatie

Surveys: drowning in data?

Surveys: drowning in data? Surveys: drowning in data? De toekomst van surveyonderzoek Roeland Beerten Hoofdstatisticus Vlaamse overheid Inhoud Context Surveys in een wereld vol met data De toekomst? People in this country have

Nadere informatie

Hardware-software Co-design

Hardware-software Co-design Jan Genoe KHLim Versie: maandag 10 juli 2000 Pagina 1 Wat is HW/SW Co-design Traditioneel design: De verdeling tussen de HW en de SW gebeurt bij het begin en beiden worden onafhankelijk ontwikkeld Verweven

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

3HUIRUPDQFH0HDVXUHPHQW RI'\QDPLFDOO\&RPSLOHG -DYD([HFXWLRQV

3HUIRUPDQFH0HDVXUHPHQW RI'\QDPLFDOO\&RPSLOHG -DYD([HFXWLRQV 3HUIRUPDQFH0HDVXUHPHQW RI'\QDPLFDOO\&RPSLOHG -DYD([HFXWLRQV Tia Newhall and Barton P. Miller {newhall *, bart}@cs.wisc.edu Computer Sciences University of Wisconsin 1210 W. Dayton St. Madison, WI 53706

Nadere informatie

Gegevens invullen in HOOFDLETTERS en LEESBAAR, aub. Belgische Olympiades in de Informatica (duur : maximum 1u15)

Gegevens invullen in HOOFDLETTERS en LEESBAAR, aub. Belgische Olympiades in de Informatica (duur : maximum 1u15) OI 2010 Finale 12 Mei 2010 Gegevens invullen in HOOFDLETTERS en LEESBAAR, aub VOORNAAM NAAM :................................................ SCHOOL :............................................................

Nadere informatie