Hardwareontwerpproject: USB 2.0 oscilloscoop

Maat: px
Weergave met pagina beginnen:

Download "Hardwareontwerpproject: USB 2.0 oscilloscoop"

Transcriptie

1 Hardwareontwerpproject: USB 2.0 oscilloscoop 20 mei 2005 Frederick Bossuyt Steven De Bock Sven Duchatelet Steven Werbrouck Promotor: J. Vandewege Begeleider: K. Van Renterghem

2 Inhoudsopgave 1 Probleemstelling AD-convertor FPGA USB-controller Schema van de probleemstelling Doel van het project Functionaliteit in de hardware Functionaliteit in de software Aanpak 6 4 Gepresteerd werk Hardware Software De datalaag De GUI-laag Demonstratie Wat kunnen we en wat kunnen we niet? i

3 Hoofdstuk 1 Probleemstelling Figuur 1.1: 8-lagenkaart waarmee we een oscilloscoop wensen op te bouwen. Recent werd in het ontwerplabo INTEC design een achtlagenbord ontwikkeld dat, naast een USB 2.0 controller (Cypress FX2), twee krachtige FPGA bouwstenen kan bevatten (één ervan is bestukt onder de koelvin, de andere is op deze figuur nog niet bevestigd), SDRAM, twee AD-convertoren en één DA-convertor. De kaart beschikt over een bijzonder accurate sampleklok. De bedoeling is deze kaart, door toevoeging van real-time software, om te toveren tot een oscilloscoop met buitengewone performantie. 1

4 HOOFDSTUK 1. PROBLEEMSTELLING AD-convertor Helemaal rechts op figuur 1.1 zien we twee coax-aansluitingen. De onderste aansluiting dient om analoge signalen uit de kaart te sturen; aan de bovenste kunnen we een analoog signaal aanleggen. Dit analoog ingangssignaal moet uiteraard omgezet worden naar het digitaal domein. De AD-convertor die we hiervoor gebruiken, kan een samplerate aan van 64 Msps (i.e. per seconde worden er 64 miljoen samplewaardes genomen van het analoog signaal). Vóór de AD-convertor staat een anti-aliasing filter met een bandbreedte van 12 MHz. Iedere samplewaarde bestaat uit 14 bits, zodat we zeer nauwkeurige en gedetailleerde meetresultaten kunnen verkrijgen. Wanneer we deze samplewaardes onmiddellijk aan een PC willen leveren, dan hebben we een interface nodig die een datadebiet van ongeveer 1 Gbps aankan! De overvloed aan samplewaardes kan dus niet zomaar doorgezonden worden naar een PC. We beschikken immers slechts over een USB 2.0 connectie van 480 Mbps. Voor deze oscilloscooptoepassing is dan ook een slim gebruik van triggering, decimatie en buffering nodig. De hogesnelheid DA-convertor op de kaart kan desgewenst worden gebruikt om een stimulus-signaal aan te maken (bv. een sinus waarmee een versterker kan worden doorgemeten). Merk op dat de bovenste twee convertoren afgeschermd zijn door een metalen omhulsel. Dit zorgt ervoor dat de invloeden van overspraak en stoorsignalen beperkt worden (kooi van Faraday-principe). 1.2 FPGA Op de testkaart die voor het project beschikbaar is, zijn twee FPGA s aanwezig (van het type Xilinx VirtexII XC2V1500) die bestukt zijn met elk 1,5 miljoen equivalente gates en meer dan een megabit aan snelle inwendige SDRAM, zodat zelfs lange samplerijen snel kunnen worden opgeslaan en intensieve digitale signaalverwerking mogelijk is. In dit project zal blijken dat slechts één van de twee FPGA s hoeft te worden gebruikt. 1.3 USB-controller De pinnen van de FPGA die we gebruiken, worden geconnecteerd aan een USB-controller. De Cypress FX2 is een combinatie van een USB 2.0 transceiver en een 8051 microcontroller. Deze is nodig om de signalen op een correcte manier aan de PC af te geven. De controller vormt dus de interface tussen de kaart en de PC. We merken op dat hoewel de USB 2.0 interface niet volstaat om het hoge datadebiet te kunnen verwerken die we met deze kaart kunnen halen, ze toch een hoge snelheid van 480 Mbps heeft. In vergelijking met USB 1.1 werkt deze interface 40 keer sneller. De FX2 is wel backward compatibel, zodat het ook kan werken aan Low Speed en Full Speed: Low Speed (1.5 Mbps): voor muis, keyboard en joystick

5 HOOFDSTUK 1. PROBLEEMSTELLING 3 Full Speed (12 Mbps): voor modem, speakers en scanner High Speed (480 Mbps): voor hard disk drives, cd-rom, videocamera, high resolution scanner Schema van de probleemstelling Figuur 1.2: Schematische afbeelding van de probleemstelling. Een analoog signaal komt binnen via een coax-aansluiting. Eenmaal gepasseerd door het anti-aliasing filter, wordt het signaal gesampled door de AD-convertor en naar de FPGA s gestuurd. Hierop wordt het signaal opgeslaan, verwerkt en op aanvraag van de USBcontroller doorgestuurd naar de PC. Ook hier dient signaalverwerking te gebeuren. Een human interface zorgt daarna voor een duidelijke en gebruiksvriendelijke afbeelding van het ontvangen signaal.

6 Hoofdstuk 2 Doel van het project Als einddoelstelling wensen we een demonstratie van een oscilloscoopwerking te kunnen geven. We streven naar 20MHz bandbreedte voor eenmalige signalen (single shot). Single shot betekent dat we slechts één momentopname van het analoog signaal hoeven te maken. Wanneer we een bepaald opgegeven triggerniveau bereiken, worden de daaropvolgende samples van het signaal opgeslaan en doorgegeven aan de PC. Het tijdsvenster kan bijvoorbeeld een periode van het signaal omvatten. Het voordeel van het werken met single shot signalen is dat we niet constant de samples van het signaal moeten bijhouden tot ze afgegeven zijn aan de PC. We onthouden slechts de samplewaardes vanaf het moment dat het triggerniveau bereikt is tot aan het eind van het beoogde tijdsvenster. Uiteraard zijn korte reactietijden in dit ontwerp belangrijk. Wanneer de gebruiker bijvoorbeeld de amplitude van een spanningssignaal verhoogt, dan wenst hij/zij dit onmiddellijk op het scherm te zien. Zoniet lijkt de oscilloscoop vervelend traag te werken. Verder is een efficiënt gebruik van de beschikbare FPGA hardware evident: hoewel de FPGA heel veel equivalente poorten bevat, is het ingebed geheugen nog steeds een schaars goed. De opdracht omvat het ontwikkelen en testen van functies die in VHDL code geschreven worden, zodat we de FPGA s kunnen configureren. Verder moeten we aan de hand van C++ code de communicatie met en de gebruikersinterface op de PC verzorgen. De opdracht is dus opgesplitst in een hardware- en software-gedeelte. 2.1 Functionaliteit in de hardware In eerste instantie moeten we uiteraard een geheugenstructuur voorzien: de binnenkomende samplewaardes dienen we immers op te slaan. Verder moeten we een trigger implementeren die aangeeft vanaf welke samplewaarde de bits moeten doorgegeven worden. We moeten hierbij ook rekening houden met het feit dat het signaal dit triggerniveau op een rising of een falling edge kan bereiken. Differentiatie hiertussen is een vereiste. Ook een pretrigger is gewenst. Wanneer we bijvoorbeeld een momentopname nemen van één periode van een sinussignaal, dan is het interessant om ook vlak vóór en vlak na dit tijdsvenster een aantal samplewaardes af te beelden op het scherm. De gebruiker kan dan 4

7 HOOFDSTUK 2. DOEL VAN HET PROJECT 5 eventueel het afgebeelde signaal nog wat verschuiven naar links of rechts om te zien hoe het signaal net buiten het beoogde venster eruit ziet. De pretrigger houdt deze functionaliteit in. Een belangrijke functionaliteit in de hardware is de mogelijkheid om at runtime instellingen aan te passen. Zo is het de bedoeling dat we de samplerate van 64 Msps kunnen downscalen naar 32/16/8/4/2/1 Msps, en dit nádat de FPGA s geconfigureerd zijn. Daarvoor moeten we een omgekeerde datastroom mogelijk maken: bits moeten niet enkel van de FPGA s naar de PC kunnen worden verzonden (samplewaardes), maar ook vanuit de PC naar de FPGA s (controlebits). Ten slotte moeten we nog enkele connecties tussen de FPGA s en de USB-controller realiseren. Daarbij moeten we de juiste poorten op de FPGA s lokaliseren en draadjes solderen op de corresponderende pinnen. Als extra opgave kan een anti-aliasing filter ontworpen worden die een grotere bandbreedte heeft. De huidige filter stopt immers signalen af vanaf ongeveer 12 MHz. De einddoelstelling ( signalen kunnen verwerken van 20 MHz ) kan op die manier onmogelijk bereikt worden. Verder kan er in VHDL ook nog een digitaal ruisonderdrukkingsfilter geïmplementeerd worden. Op die manier zijn de afgebeelde signalen minder behept met ruis omwille van stoorsignalen vanuit de omgeving. Om deze functionaliteit in de hardware te voorzien, kunnen we gebruik maken van Xilinx ISE 6.0. Hierin kunnen we de VHDL-code schrijven, compileren en simuleren. De bijhorende simulator (ModelSim) kan meer dan louter de pure VHDL-code simuleren. Een dergelijke simulatie is snel en noodzakelijk om het gedrag van een hardwarebeschrijving te controleren, maar het levert ons nog absoluut geen zekerheid op een betrouwbare werking in realiteit. Daarom is het met ModelSim ook mogelijk om de beschreven componenten te plaatsen en te routeren op een virtuele FPGA ( Post Place and Route ). Op die manier worden wire delays en parasitaire effecten in rekening gebracht. Deze delays hebben hun invloed op de timing en bepalen de maximale klokfrequentie waaraan een circuit kan draaien. Eenmaal een dergelijke simulatie aantoont dat de hardwarebeschrijving naar behoren werkt, kunnen we een bitstream aanmaken die de FPGA kan configureren. 2.2 Functionaliteit in de software Het belangrijkste doel van de software is het aanbieden van een graphical user interface (GUI) die de gebruiker toelaat om onze oscilloscoop op een intuïtieve manier te hanteren. De graphical user interface voorziet de gebruiker van een display om de golfvorm voor te stellen, de nodige knopjes en sliders om zaken als markers en triggerniveau in te stellen, een extra display om het frequentiespectrum van de golfvorm voor te stellen... Om deze functionaliteit in de software te voorzien maakten we dankbaar gebruik van KDevelop 1 (een geïntegreerde ontwikkelingsomgeving) en enkele beschikbare bibliotheken. 1

8 Hoofdstuk 3 Aanpak Aangezien we met vier zijn in onze groep, kunnen we twee mensen zetten op de software en twee op de hardware. Sven Duchatelet en Steven Werbrouck staan in voor de implementatie van de functionaliteit op de FPGA s. Aangezien de toestandsmachines die in de VHDL-code geschreven moeten worden niet zo eenvoudig zijn, werken zij steeds samen aan de hardwarebeschrijving. Frederick Bossuyt en Steven De Bock verzorgen de softwarekant. Hierbij legt Frederick zich vooral toe op het afbeelden van het resultaat. Een gebruiksvriendelijke human interface en een aangename layout zijn zijn doelstellingen. Steven De Bock houdt zich bezig met het ontvangen, omzetten en interpreteren van de bits op de PC. De seriële bitstroom die door de USB-poort ontvangen wordt, is immers nog niet meteen interpreteerbaar en moet nog omgezet worden naar interpreteerbare en verwerkbare doubles. Hoewel de taken strikt omlijnd zijn, is een goede communicatie tussen de groepen van cruciaal belang. Duidelijke afspraken zijn nodig omtrent wanneer, hoe en onder welke vorm data getransporteerd wordt. De hardwarekant moet bijvoorbeeld aangeven hoeveel invalid bytes er doorgezonden worden na iedere initialisatie van het gehele systeem. Deze bytes worden dan aan softwarezijde genegeerd. Verder dienen we ook af te spreken hoe we de bits interpreteren (vb: 1 doorgezonden sample = 16 bit, in 2-complementsnotatie...). Ten slotte zijn afspraken nodig omtrent het protocol voor de realtime instellingen. De PC zal bepaalde controlesignalen moeten verzenden om duidelijk te maken welke instelling ze wil veranderen en op welke waarde die bepaalde instelling gezet moet worden. Figuur 3.1: Overleg en afspaken zijn noodzakelijk. 6

9 Hoofdstuk 4 Gepresteerd werk 4.1 Hardware Figuur 4.1: Schema van de VHDL-code. Het volledige schema van de hardware-implementatie wordt gegeven in figuur 4.1. We kunnen 2 blokken onderscheiden: het data-blok en het controle-blok. Het data-blok zorgt voor de doorstroming van de samples naar de usb-controller. Deze doorstroming gebeurt aan een instelbare samplerate (64, 32, 16, 8, 4, 2 of 1 Msps). De binnenkomende samples worden eerst uitgebreid tot 16 bit, aangezien de usb-controller 7

10 HOOFDSTUK 4. GEPRESTEERD WERK 8 met een geheel aantal bytes werkt. Het FIR-filter zorgt dan voor een zinvolle reductie van het aantal samples (het acteert als ruisonderdrukkingsfilter) en geeft zelf aan wanneer de FIFO deze samples mag inklokken. We implementeren het FIR-filter zó dat het een datasignaal en een klok transformeert in een nieuw gedownscaled datasignaal (factor 2) en een nieuwe klok die aan de halve frequentie loopt. Op die manier is het mogelijk verschillende FIR-filters in cascade te laten werken. Het uiteindelijke circuit werkt met de klok en het datasignaal van het laatste filter uit de cascade. De FIFO zal de samples echter pas inlezen als hij de toestemming van het controle-blok gekregen heeft via wr en (na detectie van het triggerniveau). De inhoud van de FIFO wordt uitgelezen door de usbcontroller. We moeten de samples dus omzetten in een seriele bitstroom. Dit gebeurt aan de hand van een schuifregister (shifter out). We hebben ook een uitgangsbuffer (buffer out) voorzien. Deze uitgangsbuffer krijgt een waarde van de FIFO als het schuifregister bijna leeg is zodat de waarde stabiel is op het ogenblik dat het schuifregister moet gevuld worden. Het controle-blok interpreteert de controlesignalen van de PC at runtime en configureert aan de hand hiervan het data-blok. We kunnen zowel het triggerniveau als de samplerate instellen en zullen, telkens de PC nieuwe instellingen doorgeeft, het data-blok resetten. Het signaal control geeft aan wanneer de instellingen doorgegeven zijn en mogen gebufferd worden. Dit schema werd dan geïmplementeerd in VHDL (met behulp van Xilinx ISE 6.0). Elk bouwblok werd omgezet in een of meerdere processen, waarbij we zeer goed moesten onderzoeken welk deel van de functionaliteit in een synchroon proces kon geïmplementeerd worden en welk deel door een combinatorisch proces gerealiseerd kon worden. Om onze code te kunnen simuleren, schreven we een testbank die het gedrag van de usb-controller en van de DAC nabootste. Eenmaal dit correct functioneerde, voerden we een Post Place and Route uit om de werkelijke timing te modelleren. Als laatste stap konden we dan het geheel testen. Metingen met een Logic Analyser gaven echter aan dat er nog een verschil zat tussen de simulaties met onze testbank en de fysische implementatie (een tijdsverschuiving van 2 klokcycli). Dit kwam doordat de testbank niet 100% met de realiteit overeenstemde (een perfecte testbank schrijven is een opgave op zich). Dit probleem was echter vrij eenvoudig op te lossen. In figuur 4.2 zien we een simulatie (d.m.v. Modelsim) waarbij we via de testbank de DAC modelleren als een teller. De usb-klok wordt gemodelleerd als een intermitterende klok die 8 bit per keer opvraagt. We zien dat de FIR-filters (in cascade) inderdaad zorgen voor een halvering van de klokfrequentie. De data-uitgangen van de FIR-filters zijn het gemiddelde van de ingangen. In figuur 4.3 zien we een simulatie waarbij we de controle-informatie modelleren die door de usb-controller geleverd wordt. De instelling-vector bevat zowel de samplerate als het triggerniveau. We zien dat deze vector bit per bit uitgelezen wordt (cf. schuifregister buffer in). Na deze schuifoperatie hebben de signalen samplerate en triggerniveau de juiste waarde. Het control-signaal wordt hoog gebracht en het data-blok wordt geconfigureerd.

11 HOOFDSTUK 4. GEPRESTEERD WERK 9 Figuur 4.2: Post Place and Route simulatie van de DATA.

12 HOOFDSTUK 4. GEPRESTEERD WERK 10 Figuur 4.3: Post Place and Route simulatie van de CONTROLE.

13 HOOFDSTUK 4. GEPRESTEERD WERK Software Figuur 4.4: Architectuur van de software. We zullen nu even dieper ingaan op de realisaties in software en de problemen die daarbij kwamen kijken. Zoals reeds eerder vermeld, hebben we ons ontwikkelingswerk gedaan in KDE, een geïntegreerde ontwikkelingsomgeving die te gebruiken is onder het Linuxbesturingssysteem. De eerste praktische problemen die bij het gebruik van Linux/KDE kwamen kijken, staken al een stokje voor de snelle start van het project. Zo waren er onder andere de nodige compileer-problemen die veroorzaakt werden door Makefiles. Makefiles worden onder Linux gebruikt om de compiler te vertellen wat de relaties zijn tussen de verschillende bronbestanden en objecten die gecompileerd moeten worden. Een slechte Makefile kan dus onmogelijk leiden tot een goed werkend programma en dat hebben we dan ook mogen ondervinden. Ook hadden we nooit een software-ontwerp van een dergelijke omvang gedaan en dit leidde tot moeilijkheden wat betreft het includeren van de nodige bibliotheken. Van zodra we deze zaken helemaal begrepen konden we pas echt van start gaan met het ontwikkelen van specifieke code voor ons project. Op figuur 4.4 is te zien dat de software die wij hebben geïmplementeerd, valt op te splitsen in 2 lagen, dewelke hieronder in meer detail worden besproken De datalaag. De functie van de datalaag bestaat er enerzijds in om de samplewaarden aanwezig in de geheugenstructuur van de FPGA op een eenvoudige wijze en onder correcte vorm aan de GUI aan te bieden. Anderzijds staat deze laag ook in om communicatie in omgekeerde richting mogelijk te maken zodat instellingen van de GUI aan de FPGA kunnen doorgegeven worden. Ook het programmeren van de FPGA gebeurt op dit niveau. Om deze functionaliteit te verwezenlijken, hebben we onder andere gebruik gemaakt van de fx2 lib bibliotheek en voorbeeldcode die ons ter beschikking werden gesteld. De fx2 lib bibliotheek bezit de nodige functies om de FX2 microcontroller aan te sturen zodat communicatie in beide richtingen tussen de FPGA en GUI mogelijk wordt. Voorts moeten we er rekening mee houden dat de communicatie tussen de FPGA en GUI onderling gebeurt met bytes. Dit heeft onder andere tot gevolg dat bij het doorgeven

14 HOOFDSTUK 4. GEPRESTEERD WERK 12 van de samplewaarden van de FPGA aan de GUI telkens 2 bytes dienen samengevoegd te worden tot 1 enkele waarde, aangezien elke samplewaarde wordt gecodeerd in 2 bytes. De samplewaarde die we op deze manier bekomen is echter een gehele waarde in het bereik van tot 8191 en dus dienen we dit nog met een gepaste schaalfactor te corrigeren. Op die manier bekomen we de signaalwaarde die aan de ingang van AD-omzetter werd aangelegd, op een quantisatiefout na. Dit alles resulteert in een klasse Data. Deze klasse voorziet in de nodige variabelen en functies om aan de bovenstaande functionaliteiten te voldoen. Bij het instantiëren van deze klasse, wordt een object gecreëerd dat naast een gegeven aantal samplewaarden ook een aantal instellingen voor de FPGA kan bevatten. Door het aanroepen van de juiste functies is het dan mogelijk om samplewaarden in te lezen vanuit de FPGA of instellingen door te geven aan de FPGA De GUI-laag. Deze laag vormt de interface met de gebruiker. Het moet alle voorgaande opgenoemde functionaliteiten die eigen zijn aan een oscilloscoop samenbundelen en op een eenvoudige manier aanbieden aan de gebruiker. De belangrijkste taak van deze laag is dus het weergeven van de opgemeten data en er voor zorgen dat de data gemakkelijk interpreteerbaar wordt door extra functionaliteiten aan te bieden zoals het aanpassen van de weergaveinstellingen en het weergeven van de eigenschappen van de data. Het zal ook via deze laag zijn dat de gebruiker zijn gewenste instellingen kan meedelen aan de hardware en de hardware de opdracht kan geven het meten van data te starten. We zijn gestart van een bibliotheek van klasse s die speciaal ontworpen is voor het weergeven van grafische data. Deze bibliotheek is te vinden op net en bevat bijna alle functionaliteiten die voor dit project nodig zijn. We gebruikten hoofdzakelijk de klasse qwt plot die het weergeven van 2-dimensionele data als hoofddoel heeft en dit op een manier die het de gebruiker aangenaam maakt. Er kunnen markers worden geplaatst, schalen en assen kunnen worden ingesteld, zowel lineaire als logaritmische weergave is mogelijk... De mogelijkheden zijn legio en vergemakkelijken ons werk enorm. In ons project hebben we 2 grafische weergaves geïmplementeerd: één die de opgemeten data toont in het tijdsdomein en een andere die het fourierspectrum weergeeft. Alle instellingen kunnen worden gewijzigd door knoppen, schuifknoppen, menu s, enz. Deze werden geïmplementeerd door gebruik te maken van kant en klare klassen waarvan de beschrijving te vinden is op Er wordt gebruik gemaakt van zogenaamde widgets die de eigenlijke user interface objecten voorstellen. Naast het instellen van hun eigenschappen (coordinaten, grootte, tekst, kleur...), kunnen verschillende widgets aan elkaar gelinkt worden door middel van het signal-slot mechanisme. Dit houdt in dat we 2 objecten kunnen linken en de ene doen reageren op signalen van de andere. We hebben dit mechanisme bijvoorbeeld gebruikt bij het instellen van de schalen. Wanneer aan een knop gedraaid wordt, moet de grafische weergave realtime veranderen. Ook bij het drukken op een knop moet een bepaalde procedure gestart worden. Deze procedure zal uitgevoerd worden, als ze aan dat object gelinkt werd.

15 HOOFDSTUK 4. GEPRESTEERD WERK 13 Bij de uiteindelijke implementatie hebben we 2 klassen gemaakt, waarbij de ene de dataplot-klasse is en de grafische weergave verzorgt. De andere klasse is het eigenlijke venster waar grafische weergave en user interface in zijn samengebracht. Naast knoppen voor het aanpassen van de weergave, zijn er knoppen geïmplementeerd die de instellingen van de hardware verzorgen. De acquire-knop zal het hardwaregedeelte de opdracht geven om te beginnen inlezen, rekening houdend met de instellingen die we via de interface kunnen veranderen. Zo is het de bedoeling dat de gebruiker het gewenste triggerniveau en de samplerate realtime kan kiezen. De user interface zet dit dan om naar een signaal dat door de onderliggende lagen telkens wordt doorgegeven, zodat het uiteindelijk een sequentie bits wordt die door de hardware correct geïmplementeerd kan worden. Voor het implementeren van een frequentieanalyse van de data, hebben we gebruik gemaakt van een klasse die te vinden is op Deze stelt ons in staat om van de ingelezen array van data, het fourierspectrum te berekenen, die we dan op het scherm weergeven. Om ruis te onderdrukken was het nodig een Hanning/Hamming venster op de opgemeten data toe te passen. Dit houdt in dat we elk ingelezen sample vermenigvuldigen met een welbepaalde cosinus-functie, zodat het ingelezen signaal periodiek uitbreidbaar wordt. Op de figuren in 4.3 is de uiteindelijke grafische realisatie te zien van de user interface. Verschillende besproken onderdelen zijn duidelijk op de figuur terug te vinden. 4.3 Demonstratie Wat kunnen we... De user interface is op het einde van onze werkzaamheden in staat om het commando te geven aan de hardware om data in te lezen. Even later verschijnt dan op het scherm de data, waarvan de weergave kan verbeterd worden door de schalen te wijzigen. Als voorbeeld van de goede werking van het inlezen van het signaal, verwijzen we graag naar figuur 4.5. Hierbij hadden we een sinus van 400mVpp en frequentie van 100kHz aangelegd aan de ingang van de scoop. In het signaalvenster merken we dat de amplitude van de sinus de correcte waarde heeft (200 mv). Ook zien we dat de frequentie waarheidsgetrouw wordt weergegeven: over een tijdsinterval van 0.1 ms merken we 10 perioden. Dit komt overeen met een sinus met een frequentie van 100 khz. Onder het signaalvenster is ook het fourierspectrum te zien van het opgemeten signaal, zodat we alle aanwezige frequentiecomponenten kunnen onderscheiden. Op figuur 4.6 zien we bijvoorbeeld dat onze scoop ook werkt voor een blokgolf, maar ook zien we bij de frequentiecomponenten verschillende harmonischen optreden. Onder het signaalvenster is ook de piekspanning van het signaal af te lezen. Het programma dat op de FPGA geladen moet worden kan via een menu ingesteld worden. Bij het opstarten wordt een default programma ingeladen.

16 HOOFDSTUK 4. GEPRESTEERD WERK en wat kunnen we niet? Het instellen van het triggerniveau en de samplerate werken (ondanks alle verwoede pogingen) nog net niet helemaal. Aan de software en hardware kant is alles in orde gebracht voor een goede communicatie, maar helaas loopt het toch nog ergens fout. Nader onderzoek met een Logic Analyser tussen de usb-controller en de FPGA toont aan dat de controller niet de signalen uitzendt die we verwachten: de controlelijn, de instellingsklok en de instellingsdatalijn geven hun (soms foutieve) waarden op verkeerde momenten af aan de hardware. Bijgevolg worden samplewaardes vanuit de FPGA op een ongecontroleerde manier doorgezonden naar de PC, zodat het signaal dat verschijnt, niet meer interpreteerbaar is. Deze fout kon helaas door ons niet meer binnen het tijdsbestek opgelost worden. Verdere mankementjes zijn te vinden bij de frequentiebepaling van het signaal. Zo zijn de schalen van de x- en y-as van het frequentiespectrum nog niet helemaal in orde. Ook de frequentiewaarde onder het signaalvenster voor een sinusgolf geeft niet de correcte waarde weer. We merken nog op dat er geen pretrigger werd geïmplementeerd. Achteraf gezien bleek een pretrigger slechts realiseerbaar, wanneer we aan continue triggering zouden doen (dus geen single shot signaal). Omdat deze vorm van geheugenbeheer een redelijk grote stap in complexiteit betekende (en het in eerste instantie ook niet opgegeven werd), hebben we besloten om die pretrigger dan maar achterwege gelaten.

17 HOOFDSTUK 4. GEPRESTEERD WERK 15 Figuur 4.5: Grafische interface van de oscilloscoop met een opgemeten sinus. Figuur 4.6: Grafische interface van de oscilloscoop met een opgemeten blokgolf.

18 HOOFDSTUK 4. GEPRESTEERD WERK 16 We willen ten slotte nog opmerken dat we het jammer vinden dat we pas op het einde konden werken met echte signalen, omdat dit een extra dimensie gaf aan ons werk. Dit project spitste zich immers voornamelijk toe op het programmeren in C++ of VHDL, misschien net iets te veel voor een BE-project. De thesisstudenten die zich echter aan deze thesis wagen, hebben nu evenwel een mooi uitgangspunt om een prachtige SuperScoop te ontwikkelen.

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

De digitale Oscilloscoop (Digital Storage Oscilloscope = DSO) J.P.Goemaere KaHo Sint-Lieven 2006

De digitale Oscilloscoop (Digital Storage Oscilloscope = DSO) J.P.Goemaere KaHo Sint-Lieven 2006 De digitale Oscilloscoop (Digital Storage Oscilloscope = DSO) J.P.Goemaere KaHo Sint-Lieven 2006 De digitale Oscilloscoop Overzicht Digitaal DSO functies en controls Automatische meten en verwerken Interfacing

Nadere informatie

Departement industriële wetenschappen en technologie

Departement industriële wetenschappen en technologie Departement industriële wetenschappen en technologie Universitaire Campus, gebouw B B-3590 DIEPENBEEK Tel.: 011-23 07 90 Fax: 011-23 07 99 Aansturen en testen van een hybride infrarood beeldopnemer Abstract

Nadere informatie

Netwerk Interfacing Data Logging.

Netwerk Interfacing Data Logging. Handleiding Netwerk Interfacing Data Logging. EduTechSoft.nl 2009-2010 H.O.Boorsma. Pagina - 2 - Netwerk Interfacing Data Logging Pagina - 3 - Inhoud Inleiding.... 4 Beschrijving van het programma....

Nadere informatie

PCI Ontwikkelplatformen

PCI Ontwikkelplatformen PCI Ontwikkelplatformen Jan Genoe KHLim In dit deel bespreken we de verschillende ontwikkelplatformen die ter beschikking staan om een PCI kaart te ontwikkelen. 1 Ontwikkelplatformen van PCI kaarten Gebruik

Nadere informatie

Uitgebreid eindwerkvoorstel Lokaliseren van personen en objecten met behulp van camera s

Uitgebreid eindwerkvoorstel Lokaliseren van personen en objecten met behulp van camera s Uitgebreid eindwerkvoorstel Lokaliseren van personen en objecten met behulp van camera s Sofie De Cooman 21 December 2006 Stagebedrijf: Interne begeleider: Externe begeleider: BarcoView Koen Van De Wiele

Nadere informatie

De seriële poort Jan Genoe KHLIM

De seriële poort Jan Genoe KHLIM De seriële poort Jan Genoe KHLIM De seriële poort 1 De seriële poort Een PC bezit een aantal seriële poorten: COM1, COM2,... Er zijn 1 of 2 seriële poorten voorzien op het moederbord Plug-in kaarten laten

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files Opgave Tussentijdse Oefeningen Jaarproject I Reeks 4: Lcd Interface & Files 1 Introductie In deze oefening zal je je LCD display leren aansturen. Je controleert deze display door er instructies naar te

Nadere informatie

Hoofdstuk 4 : BESLISSINGSDIAGRAM

Hoofdstuk 4 : BESLISSINGSDIAGRAM Hoofdstuk 4 : BESLISSINGSDIAGRAM 4.1. Inleiding. Om te komen tot het resultaat dat we in het kader van dit eindwerk hebben bereikt, moesten we een studie maken van de bestaande methodes en op basis hiervan

Nadere informatie

Technisch ontwerp positiebepaling Smart Blocks

Technisch ontwerp positiebepaling Smart Blocks Technisch ontwerp positiebepaling Smart Blocks Inhoudsopgave 1 Inleiding......3 2 Hardware......4 2.1 Blok....4 Contactpunten......4 Voeding......4 Datapinnen......5 2.2 Basisplaat......5 3 Positiebepaling......6

Nadere informatie

AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT. Geschreven door: Tom Vocke

AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT. Geschreven door: Tom Vocke AVR-DOPER MINI ASSEMBLAGE HANDLEIDING + LAYOUT Geschreven door: Tom Vocke Datum: 28-09-2010 Inleiding: Dit document bevat alle hardware informatie betreffende de AVR-Doper mini. Het eerste deel zal zich

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Nieuwe- en bijkomende functionaliteiten app V5.0

Nieuwe- en bijkomende functionaliteiten app V5.0 Nieuwe- en bijkomende functionaliteiten app V5.0 Hieronder vindt u een opsomming van de vernieuwde en bijkomende functionaliteiten van de INTEGRA CONTROL app versie 5.0. Nieuw app icoon en opstartscherm

Nadere informatie

Hardware-software Co-design

Hardware-software Co-design Jan Genoe KHLim Versie: maandag 10 juli 2000 Pagina 1 Wat is HW/SW Co-design Traditioneel design: De verdeling tussen de HW en de SW gebeurt bij het begin en beiden worden onafhankelijk ontwikkeld Verweven

Nadere informatie

EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1

EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1 EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1 1. Doel Kennismaking met de EDK van Xilinx: - begrijpen van de ontwerpomgeving en design flow - aanmaken van een Xilinx Platform Studio project

Nadere informatie

Hands-on met Red Pitaya

Hands-on met Red Pitaya Hands-on met Red Pitaya Veel meer dan alleen maar een USB-oscilloscoop! Martin Oßmann (Duitsland) Red Pitaya (RP) is een krachtig open-source meetapparaat dat voor verschillende soorten metingen kan worden

Nadere informatie

Inleiding tot de Elektrotechniek. Inleidingspracticum

Inleiding tot de Elektrotechniek. Inleidingspracticum Inleiding tot de Elektrotechniek Inleidingspracticum 1 Overzicht Oscilloscoop Experimenteerbord Multimeter Functiegenerator 2 De Oscilloscoop: Algemene Werking v(tijd) tijd De oscilloscoop zal het periodieke

Nadere informatie

Gebruikershandleiding 2015 1

Gebruikershandleiding 2015 1 Gebruikershandleiding 2015 1 1. Bouw S-tablet 1.1 De 3 delen van de informatieverwerking: Invoer: 2 vaste invoerorganen: drukknop en schuifschakelaar 2 aansluitmogelijkheden: extra invoerorganen Impulsgenerator:

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

GEÏNTEGREERDE PROEF. VTI Sint-Laurentius. Pakketweegschaal. Industriële informatie & communicatietechnologie SCHOOLJAAR 2010-2011.

GEÏNTEGREERDE PROEF. VTI Sint-Laurentius. Pakketweegschaal. Industriële informatie & communicatietechnologie SCHOOLJAAR 2010-2011. VTI Sint-Laurentius De school voor Wetenschap, Techniek en Technologie Pr. Thuysbaertlaan 1 9160 Lokeren www.vti-lokeren.be info@vti-lokeren.be GEÏNTEGREERDE PROEF Pakketweegschaal Industriële informatie

Nadere informatie

TECHNISCHE UNIVERSITEIT EINDHOVEN FACULTEIT DER TECHNISCHE NATUURKUNDE

TECHNISCHE UNIVERSITEIT EINDHOVEN FACULTEIT DER TECHNISCHE NATUURKUNDE @! TECHNISCHE UNIVERSITEIT EINDHOVEN FACULTEIT DER TECHNISCHE NATUURKUNDE Tentamen Computers bij fysische experimenten (3BB0) op donderdag 3 november 006, 10:30-1:00 Het tentamen duurt 90 minuten en wordt

Nadere informatie

LES 3 Analoog naar digitaal conversie

LES 3 Analoog naar digitaal conversie LES 3 Analoog naar digitaal conversie Misschien is het goed om eerst te definiëren wat analoog en digitaal is en wat de de voor en nadelen hiervan zijn. Analoog naar digitaal conversie wordt voor veel

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

Klas : 5 Industriële ICT Herhalingsvragen reeks 1 PC-techniek

Klas : 5 Industriële ICT Herhalingsvragen reeks 1 PC-techniek Klas : 5 Industriële ICT Herhalingsvragen reeks 1 PC-techniek VTI St.- Laurentius Neem eerst de tekst in het boek door, doe dit enkele keren en probeer uiteraard te onthouden wat je leest. Los nadien de

Nadere informatie

B3C 70cm converter besturing. v1.0 2010 PE5PVB www.het bar.net pe5pvb@het bar.net

B3C 70cm converter besturing. v1.0 2010 PE5PVB www.het bar.net pe5pvb@het bar.net B3C 70cm converter besturing v1.0 2010 PE5PVB www.het bar.net pe5pvb@het bar.net Deze schakeling en de bijbehorende software mag niet worden gedupliceerd voor commerciële verkoop zonder uitdrukkelijke

Nadere informatie

DEC DSP SDR 5 Dicrete Fourier Transform

DEC DSP SDR 5 Dicrete Fourier Transform DEC DSP SDR 5 Dicrete Fourier Transform Familie van Fourier transformaties Fourier Transform Fourier Series Discrete Time Fourier Transform Discrete Fourier Transform Berekening van een frequentie spectrum

Nadere informatie

Xelion ESPA koppeling Handleiding Beheer V1.6

Xelion ESPA koppeling Handleiding Beheer V1.6 Xelion ESPA koppeling Handleiding Beheer V1.6 van de Xelion ESPA koppeling. Dit document is bedoeld voor beheerders en operators Inhoud 1 Overzicht... 1 2... 2 2.1 Espa apparaat toevoegen... 4 2.1.1 ESPA

Nadere informatie

Vier aandachtspunten bij het specificeren van digitaal geregelde voedingen

Vier aandachtspunten bij het specificeren van digitaal geregelde voedingen Vier aandachtspunten bij het specificeren van digitaal geregelde voedingen De industrie staat soms nog wat afwachtend tegenover digitaal geregelde voedingen omdat engineers, anders dan bij de traditionele

Nadere informatie

DSLSTL. Handleiding Copyright 2008. Handleiding DSLSTL Pagina 1 of 11

DSLSTL. Handleiding Copyright 2008. Handleiding DSLSTL Pagina 1 of 11 DSLSTL Handleiding Copyright 2008 Handleiding DSLSTL Pagina 1 of 11 1 Versie beheer...3 2 Algemene omschrijving DSLSTL...4 3 Gebruik achter een router en/of firewall...5 4 Installeren van de software...6

Nadere informatie

Inductiemeter via de parallelle poort

Inductiemeter via de parallelle poort K.T.A.1-Gent "De Lindenlei" Lindenlei 38 9000 Gent Tel: 09.225.33.04 en 09.225.43.42 Fax: 09.225.52.88 Geïntegreerde proef Inductiemeter via de parallelle poort Naam: Michaël Clinckspoor Richting: Industriële

Nadere informatie

Computer architecturen: Muis en toetsenbord

Computer architecturen: Muis en toetsenbord : Muis en toetsenbord Jan Genoe KHLim Versie: zondag 27 februari 2000 1 Belang van het toetsenbord De meeste PCs starten niet op als het toetsenbord niet is verbonden Zelf de meest geavanceerde systemen

Nadere informatie

Wat is Arduino? Arduino = microprocessor (Atmel)

Wat is Arduino? Arduino = microprocessor (Atmel) Intro tot Arduino Wat is Arduino? Volgens de website: Arduino is an open-source electronics prototyping platform based on flexible, easy-to-use hardware and software. It's intended for artists, designers,

Nadere informatie

Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368

Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368 Accelerometer project 2010 Microcontroller printje op basis van de NXP-LPC2368 Handleiding bij het gebruik van een microcontroller in het Accelerometerproject (Project II) Er zijn speciaal voor het Accelerometerproject

Nadere informatie

Arduino en APRS EZHE Workshop April 2017, PD1DDK

Arduino en APRS EZHE Workshop April 2017, PD1DDK Arduino en APRS EZHE Workshop April 2017, PD1DDK Wat kunnen we met APRS? 1. Op kaarten aprs stations weergeven en hun gegevens opvragen. 2. Weerstation info opvragen. 3. Berichten uitwisselen met andere

Nadere informatie

811.1. Gebruiksaanwijzing WTW PC-software

811.1. Gebruiksaanwijzing WTW PC-software 811.1 Gebruiksaanwijzing WTW PC-software Inhoudsopgave 1 FUNCTIONELE SPECIFICATIES........................................................................... 1 2 INSTALLATIE.............................................................................................

Nadere informatie

Release notes:

Release notes: Applicatie: Module: Planbord 61570 Verwerken werkbonnen/contracten verwerken van E-Invoices uitgesteld versturen Gecorrigeerde functionaliteit Exact export werkbon / contract factuur implementatie gewijzigd.

Nadere informatie

TECHNISCHE UNIVERSITEIT EINDHOVEN FACULTEIT DER TECHNISCHE NATUURKUNDE

TECHNISCHE UNIVERSITEIT EINDHOVEN FACULTEIT DER TECHNISCHE NATUURKUNDE TECHNISCHE UNIVERSITEIT EINDHOVEN FACULTEIT DER TECHNISCHE NATUURKUNDE Tentamen Computers bij fysische experimenten (3BB20) op dinsdag 25 oktober 2005 Het tentamen duurt 90 minuten en wordt gemaakt zonder

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

De TRUST 2 PORT USB 2.0 PCI CARD werkt niet op MAC.

De TRUST 2 PORT USB 2.0 PCI CARD werkt niet op MAC. Werkwijze eerste gebruik Hoofdstuk 1. Aansluitingen (3.1) 2. De-installeren oude stuurprogramma s en producten (3.2) 3. Stuurprogramma Windows 98 /98SE /Me /2000 /XP (3.3) 4. USB-kaart inbouwen (3.4) 5.

Nadere informatie

Klassen & objecten, overerving, abstracte klassen, debuggen, interfaces, formulieren, polymorfie, statische methoden, event-handlers

Klassen & objecten, overerving, abstracte klassen, debuggen, interfaces, formulieren, polymorfie, statische methoden, event-handlers 1 Inhoud Klassen & objecten, overerving, abstracte klassen, debuggen, interfaces, formulieren, polymorfie, statische methoden, event-handlers 2 Geluidsbronnen simulator, deel 2 Inleiding De weergave versnellen

Nadere informatie

Car-Pass versie 7_1_0

Car-Pass versie 7_1_0 Car-Pass versie 7_1_0 1 ALGEMEEN Iedereen in de garagesector is wettelijk verplicht om de kilometerstanden van elke auto of lichte bestelwagen waarop hij/zij een interventie doet door te geven aan Car-Pass.

Nadere informatie

Handleiding controle Portal

Handleiding controle Portal Met Sociale Controle raakt u nooit meer iets kwijt Handleiding controle Portal 2.1 Actuele locatie bekijken & uitleg interface 1. log in via http://portal.sociale-controle.nl/ 1. U komt in de online controle

Nadere informatie

Handleiding My GPS Tracking Portal

Handleiding My GPS Tracking Portal Met de My GPS Tracker raakt u nooit meer iets kwijt Handleiding My GPS Tracking Portal 2.1 Actuele locatie bekijken & uitleg interface 1. log in via http://portal.mygpstracker.nl 1. U komt in de online

Nadere informatie

Bouwbeschrijving UniSAI

Bouwbeschrijving UniSAI Bouwbeschrijving UniSAI Print maken De layout heeft hele fijne sporen en daarom is fotografisch afdrukken de enige mogelijkheid. Voor het bewerken van de layout gebruik ik het programma Adobe Photoshop

Nadere informatie

Summa Cutter Tools. 1 Cutter tools. Met dit programma kunnen twee dingen geïnstalleerd worden:

Summa Cutter Tools. 1 Cutter tools. Met dit programma kunnen twee dingen geïnstalleerd worden: Summa Cutter Tools 1 Cutter tools Met dit programma kunnen twee dingen geïnstalleerd worden: 1. Plug-in voor Corel (vanaf versie 11) en Adobe Illustrator (vanaf versie CS). De plug-in voor Corel installeert

Nadere informatie

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten 2018/2019 Elektrotechniek Semester 1.2 Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Wasif

Nadere informatie

Voeler ingang van de ilog recorder. Stop de temperatuurvoeler

Voeler ingang van de ilog recorder. Stop de temperatuurvoeler 1) Standaard interface (EA-INT) Oud model. 2) Universele interface (EA-INT-U) Nieuw model. Beide interfaces hebben een DB9 (9 pins) connector en uw PC heeft een RS232 seriële poort nodig. Escort ilog Dataloggers

Nadere informatie

HANDLEIDING PROGRAMMEREN IN PASCAL (LAZARUS)

HANDLEIDING PROGRAMMEREN IN PASCAL (LAZARUS) HANDLEIDING PROGRAMMEREN IN PASCAL (LAZARUS) Vereiste voorkennis Voldoende kennis van het besturingssysteem (in deze handleiding wordt uitgegaan van Windows) De basisprincipes van programmeren Vereiste

Nadere informatie

Randappartuur. yvan vander sanden. 23 november 2014

Randappartuur. yvan vander sanden. 23 november 2014 Randappartuur yvan vander sanden 23 november 2014 ! muis, toetsenbord, scherm! speakers! scanners, printers! barcode readers! Drivers Zonder driver kan een apparaat niet werken. Device Drivers installeer

Nadere informatie

Het koppelen van een FC51, via Modbus RTU, aan een AC500-eco.

Het koppelen van een FC51, via Modbus RTU, aan een AC500-eco. Het koppelen van een FC51, via Modbus RTU, aan een AC500-eco. Snelle start handleiding. Solar Technical Support Inhoudsopgave: Benodigdheden.... 2 De Modbus RTU kabel.... 3 De gewijzigde parameters in

Nadere informatie

HANDLEIDING INFOGRAPHIC SOFTWARE Versie 2.3 / jan 2014

HANDLEIDING INFOGRAPHIC SOFTWARE Versie 2.3 / jan 2014 HANDLEIDING INFOGRAPHIC SOFTWARE Versie 2.3 / jan 2014 Inhoudsopgave 1. Inleiding... 3 2. Systeemvereisten... 3 3. Installeren van de software... 4 4. Programma instellingen... 5 5. Importeren van een

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

Minimum vereisten. Connectie met RPS. PC: Windows Vista (RPS 5.6); Windows XP SP2 ; Windows 2000 SP4 ;.NET ; MSXML (laatste 2 zijn meegeleverd op CD)

Minimum vereisten. Connectie met RPS. PC: Windows Vista (RPS 5.6); Windows XP SP2 ; Windows 2000 SP4 ;.NET ; MSXML (laatste 2 zijn meegeleverd op CD) Minimum vereisten PC: Windows Vista (RPS 5.6); Windows XP SP2 ; Windows 2000 SP4 ;.NET ; MSXML (laatste 2 zijn meegeleverd op CD) Centrale: Easy versie 2.xx RPS: RPS versie 5.4 of hoger Standaard Login

Nadere informatie

Cloud handleiding Versie: 1.0 Datum: 23-7-2014

Cloud handleiding Versie: 1.0 Datum: 23-7-2014 Cloud handleiding Versie: 1.0 Datum: 23-7-2014 2 Inhoud Inleiding... 5 Inrichting SequreBox Cloud... 5 1. Inloggen... 6 2. Abonnementen voeg camera toe... 8 3. Controleer beelden... 9 4. Camera Stel Alarm

Nadere informatie

Montagevoorschriften

Montagevoorschriften Montagevoorschriften BCU Mont_BCU1_NL.Doc 1/9 Inhoudsopgave 1. Montage van de onderdelen... 3 2. Aansluitingen van de 8 polige stekker... 3 3. Aansluitingen van de 10 polige stekker... 4 4. Opstarten...

Nadere informatie

S88XPressNetLI v1.0. Installatie- en gebruikershandleiding en naslagwerk KDesign Electronics, PCB ontwerp door RoSoft

S88XPressNetLI v1.0. Installatie- en gebruikershandleiding en naslagwerk KDesign Electronics, PCB ontwerp door RoSoft S88XPressNetLI v1.0 Installatie- en gebruikershandleiding en naslagwerk. 2008 KDesign Electronics, PCB ontwerp door RoSoft 3.3 De S88XpressNetLI verbinden met de ROCO versterker Aangezien de S88XpressNetLI

Nadere informatie

Vakinhoudelijke uitwerking Keuzevak Applicatieontwikkeling van het profiel MVI vmbo beroepsgericht

Vakinhoudelijke uitwerking Keuzevak Applicatieontwikkeling van het profiel MVI vmbo beroepsgericht Vakinhoudelijke uitwerking Keuzevak Applicatieontwikkeling van het profiel MVI vmbo beroepsgericht Deze vakinhoudelijke uitwerking is ontwikkeld door het Redactieteam van de Schooleamenbank vmbo voor dit

Nadere informatie

Bachelorproef. Potentiometers. Printed circuits: Verslaggever: Stijn Boutsen Coördinator: Wim Deferme

Bachelorproef. Potentiometers. Printed circuits: Verslaggever: Stijn Boutsen Coördinator: Wim Deferme Bachelorproef Printed circuits: Potentiometers Verslaggever: Stijn Boutsen Coördinator: Wim Deferme Academiejaar: 01-01 Inhoudsopgave Inleiding... Opmerking i.v.m. inkt... Analoge potmeters... Eerste idee...

Nadere informatie

Klasse B output buffer voor een Flat Panel Display Kolom aansturing

Klasse B output buffer voor een Flat Panel Display Kolom aansturing Gevalstudie 1 Klasse B output buffer voor een Flat Panel Display Kolom aansturing IEEE Journal of Solid-state circuits, Vol 34, No 1, Januari 1999, pp 116-119 Jan Genoe KHLim Flat Panel display kolom driver

Nadere informatie

Herconfigureerbare Hardware in Ieders Bereik

Herconfigureerbare Hardware in Ieders Bereik Herconfigureerbare Hardware in Ieders Bereik Prof. Dirk Stroobandt Universiteit Gent Vakgroep ELIS Onderzoeksgroep PARIS http://www.elis.ugent.be/~dstr/ Overzicht Nood aan digitale verwerking van gegevens

Nadere informatie

g. Je kan nu door op de play knop te drukken je programma versturen naar de EV3 brick waarna het zal uitgevoerd worden.

g. Je kan nu door op de play knop te drukken je programma versturen naar de EV3 brick waarna het zal uitgevoerd worden. EV3 brick verbinden via bluetooth. 1) Alvorens de LEGO software op te starten kijk je het best of bluetooth op je PC is geactiveerd. Vooral bij laptops schakelt men deze functie vaak uit om batterij te

Nadere informatie

WinCCFlex. WinCC Flex MeRa 1/22

WinCCFlex. WinCC Flex MeRa 1/22 WinCCFlex 1. Invoegen van TP in het S7 project...2 2. Instellen van communicatie HMI...2 3. Aanmaken van tags...4 4. Aanmaken van de schermen...5 5. Invoegen van een objecten...6 5.1. Invoegen van een

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (4)

De Arduino-microcontroller in de motorvoertuigentechniek (4) De Arduino-microcontroller in de motorvoertuigentechniek () E. Gernaat (ISBN 978-90-7930--6) De Atmel ATmega38/P microcontroller. Uitvoering De ATmega38 is een microprocessor van de Amerikaanse firma ATMEL

Nadere informatie

Kennis na het volgen van de training. Na het volgen van deze training bent u in staat:

Kennis na het volgen van de training. Na het volgen van deze training bent u in staat: Training Trainingscode Duur Gepubliceerd Taal Type Leermethode Kosten SF2015V8 4 dagen 02/02/2015 Nederlands & Engels Developer, basis Invidueel & klassikaal Op aanvraag Deze training richt zich op het

Nadere informatie

Configuratie. EasySecure International B.V. +31(0)88 0000 083 Info@EasySecure.nl Support.EasySecure.nl. v2.0.11 22-09-2014

Configuratie. EasySecure International B.V. +31(0)88 0000 083 Info@EasySecure.nl Support.EasySecure.nl. v2.0.11 22-09-2014 Configuratie EasySecure International B.V. +31(0)88 0000 083 Info@EasySecure.nl Support.EasySecure.nl v2.0.11 22-09-2014 In deze handleiding zal het configuratie menu binnen IdentySoft worden behandeld.

Nadere informatie

BLReactions. Copyright 2016 by B-Logicx. All Rights Reserved.

BLReactions. Copyright 2016 by B-Logicx. All Rights Reserved. Copyright 2016 by B-Logicx. All Rights Reserved. Inhoudstabel Introductie... 3 Starten... 4 Systeemvereisten... 4 Installatie... 4 Bestandslocaties... 4 Gebruikers interface... 5 Verbinding... 6 Statusweergave...

Nadere informatie

Hoofdstuk 7: METING VAN DE FREQUENTIE- NAUWKEURIGHEID

Hoofdstuk 7: METING VAN DE FREQUENTIE- NAUWKEURIGHEID Hoofdstuk 7: METING VAN DE FREQUENTIE- NAUWKEURIGHEID 7.1. Inleiding In dit hoofdstuk zullen we enkele methoden bespreken voor het bepalen van de nauwkeurigheid van de door ons te distribueren frequentiestandaard.

Nadere informatie

Snel beginnen Starter System 3.2

Snel beginnen Starter System 3.2 3.2 Inhoudsopgave ii / 12 Inhoudsopgave 1 Leveromvang... 3 2 Voorwaarden... 4 3 De eerste stappen... 5 4 Informatie en hulp... 12 1 Leveromvang 3 / 12 1 Leveromvang Voor de bediening van het sluitsysteem

Nadere informatie

Universele deurcontroller

Universele deurcontroller Universele deurcontroller Configuratiehandleiding Versie:20170118 Inhoud Inleiding... 3 Voordat de deurcontroller wordt geconfigureerd... 3 Configuratie app downloaden en installeren... 3 Koppelen... 4

Nadere informatie

INTERACTIEVE TOUCHSCREEN MET RGB LEDS

INTERACTIEVE TOUCHSCREEN MET RGB LEDS Project-medewerkers: Pieter Sijmons, Sybe Natran, Nils Van Butsel 2011 INTERACTIEVE TOUCHSCREEN MET RGB LEDS Verslag voor het vak Vakoverschrijdende Projecten Inhoudsopgave Introductie... 2 Doelstelling...

Nadere informatie

(energie) meten via Qbus

(energie) meten via Qbus (energie) meten via Qbus Voor het bijhouden van groene stroom-certificaten, het optimaliseren van verbruiken of gewoon om te weten wat waar wanneer in uw gebouw gebeurt. Op de SD-kaart van de Qbus controller

Nadere informatie

z 1 Dit tentamen bestaat uit zes opgaven (50 punten) Opgave 1 (8 punten) Gegeven het volgende systeem:

z 1 Dit tentamen bestaat uit zes opgaven (50 punten) Opgave 1 (8 punten) Gegeven het volgende systeem: ELEKTRONISCHE SIGNAALBEWERKING ET 245 D: digitale signaalbewerking 24 augustus 2, 4: 7: Open boek tentamen, alle studiematerialen en aantekeningen toegelaten Dit tentamen bestaat uit zes opgaven (5 punten)

Nadere informatie

Modem en Codec. Telematica. Amplitude-modulatie. Frequentie-modulatie. Soorten modems. Fase-modulatie

Modem en Codec. Telematica. Amplitude-modulatie. Frequentie-modulatie. Soorten modems. Fase-modulatie Modem en Codec Telematica Data Transmissie (Fysieke laag) Hoofdstuk 6 t/m 8 Een modem gebruikt analoge signalen om digitale signalen te versturen Een codec gebruikt digitale signalen om analoge signalen

Nadere informatie

TRUST AMI MOUSE 250S OPTICAL

TRUST AMI MOUSE 250S OPTICAL 1 Inleiding Deze handleiding is bedoeld voor de gebruikers van de TRUST AMI MOUSE 250S OPTICAL E-MAIL. Hij werkt op zo goed als ieder oppervlak. Wanneer u nieuwe e- mail binnen krijgt geeft de muis een

Nadere informatie

Software Netwerkmonitor Wi Safe 2 GEBRUIKERSHANDLEIDING

Software Netwerkmonitor Wi Safe 2 GEBRUIKERSHANDLEIDING Software Netwerkmonitor Wi Safe 2 GEBRUIKERSHANDLEIDING Inhoud 1. Hardwarecomponenten... 2 2. Softwarecomponenten... 2 3. Gebruikersinterface... 2 3.1 Menu van het hoofdvenster... 2 3.2 Weergavevakken...

Nadere informatie

Voeler ingang van de ilog recorder. Stop de temperatuurvoeler

Voeler ingang van de ilog recorder. Stop de temperatuurvoeler 1) Standaard interface (EA-INT) Oud model. 2) Universele interface (EA-INT-U) Nieuw model. Beide interfaces hebben een DB9 (9 pins) connector en uw PC heeft een RS232 seriële poort nodig. Escort ilog Dataloggers

Nadere informatie

Harmonischen: een virus op het net? FOCUS

Harmonischen: een virus op het net? FOCUS Amplitude Harmonischen: een virus op het net? FOCUS In het kader van rationale energieverbruik (REG) wordt steeds gezocht om verbruikers energie efficiënter te maken. Hierdoor gaan verbruikers steeds meer

Nadere informatie

Technische nota AbiFire5 Rapporten maken via ODBC

Technische nota AbiFire5 Rapporten maken via ODBC Technische nota AbiFire5 Rapporten maken via ODBC Laatste revisie: 29 juli 2009 Inhoudsopgave Inleiding... 2 1 Installatie ODBC driver... 2 2 Systeeminstellingen in AbiFire5... 3 2.1 Aanmaken extern profiel...

Nadere informatie

Installatiehandleiding FWG 3.0/2011-2012. Stand-alone / Netwerkversie. Nieuwe Installatie van FWG 3.0/2011-2012 met een MS Access database

Installatiehandleiding FWG 3.0/2011-2012. Stand-alone / Netwerkversie. Nieuwe Installatie van FWG 3.0/2011-2012 met een MS Access database Installatiehandleiding FWG 3.0/2011-2012 Stand-alone / Netwerkversie Nieuwe Installatie van FWG 3.0/2011-2012 met een MS Access database Wij willen u er op wijzen dat ons systeem FWG3.0 Cd-rom versie dit

Nadere informatie

Handleiding voor demonstratie multimeter

Handleiding voor demonstratie multimeter Handleiding voor demonstratie multimeter 24.06.18 3867.70 Omschrijving: Deze demonstratie multimeter is special ontworpen voor educatieve doeleinden en kan de volgende eenheden meten: spanning, stroom.

Nadere informatie

Beckhoff. Motion Control PTP 03.05.2013 1

Beckhoff. Motion Control PTP 03.05.2013 1 Beckhoff Motion Control PTP 03.05.2013 1 Motion Control PTP Agenda: Wat is Motion Control. TwinCAT Motion Controller. Stappenmotor in Open Loop Control Stappenmotor in Closed Loop Control ServoDrive AX5000

Nadere informatie

Informatica: C# WPO 9

Informatica: C# WPO 9 Informatica: C# WPO 9 1. Inhoud Functies (functies met return-waarde) 2. Oefeningen Demo 1: Som Demo 2: Min en max of array Demo 3: Retourneer array van randomwaarden A: Absolute waarde A: Afstand A: Aantrekkingskracht

Nadere informatie

Zomer-Wintertijd. Handleiding Certo

Zomer-Wintertijd. Handleiding Certo Zomer-Wintertijd COPYRIGHT 2001 : Dit document blijft ten allen tijde de intellectuele eigendom van Electromatic Sint-Niklaas NV. De inhoud, geheel of gedeeltelijk, zal niet kenbaar gemaakt worden of beschikbaar

Nadere informatie

AccountView Go Workflow documenten handleiding

AccountView Go Workflow documenten handleiding AccountView Go Workflow documenten handleiding Workflow AccountView Go Pagina 1 van 12 Inhoud 1 Business Case... 3 1.1 Maandelijkse rapporten... 3 1.1.1 BTW Aangifte... 3 1.1.2 Openstaande posten debiteuren...

Nadere informatie

INSTALLATIE VAN DE BelD KAARTLEZER

INSTALLATIE VAN DE BelD KAARTLEZER INSTALLATIE VAN DE BelD KAARTLEZER 1. Inleiding Om toegang te krijgen tot het systeem van de Orde van Architecten Vlaamse Raad waarmee u uw digitaal visum kan verkrijgen, dient u te beschikken over een

Nadere informatie

TradePoint Systems NCTS Oplossingen

TradePoint Systems NCTS Oplossingen TradePoint Systems NCTS Oplossingen Van overheidswege bent u vanaf 1 april 2004 verplicht om uw huidige transitdocumenten elektronisch aan te maken en te versturen. Indien uw organisatie niet beschikt

Nadere informatie

RACS PR master. Gebruikershandleiding (30/10/2011 V1.0 voor RACS V4.4.8.696) GH_RACS PR Master_NL.doc Onder voorbehoud van technische wijzigingen 1

RACS PR master. Gebruikershandleiding (30/10/2011 V1.0 voor RACS V4.4.8.696) GH_RACS PR Master_NL.doc Onder voorbehoud van technische wijzigingen 1 RACS PR master Gebruikershandleiding (30/10/2011 V1.0 voor RACS V4.4.8.696) GH_RACS PR Master_NL.doc Onder voorbehoud van technische wijzigingen 1 1. Inhoudstabel. 1. Inhoudstabel... 2 2. Inleiding....

Nadere informatie

Batterij Data Logger (BDL)

Batterij Data Logger (BDL) BATTERY DATA LOGGER Batterij Data Logger (BDL) Model Nr. BDL 24(01) BDL 48 BDL 96 Gebruiksaanwijzing Lees deze gebruiksaanwijzing grondig door voordat u uw BDL gaat gebruiken EIGENSCHAPPEN Geschikt voor

Nadere informatie

Opdracht week 4 INLDIG 1

Opdracht week 4 INLDIG 1 Opdracht week 4 Binair-naar-BCD omzetting Inleiding In de digitale techniek worden getallen opgeslagen in het binaire talstelsel. Rekenschakelingen zijn zo eenvoudig te ontwerpen. Helaas is het aflezen

Nadere informatie

HANDLEIDING INFOBOARD SOFTWARE

HANDLEIDING INFOBOARD SOFTWARE HANDLEIDING INFOBOARD SOFTWARE Versie 2.14 / oktober 2006 INHOUDSOPGAVE 1. INLEIDING... pag. 3 2. SYSTEEM VEREISTEN... pag. 3 3. INSTALLEREN VAN DE SOFTWARE... pag. 4 4. OPSTARTEN VAN DE SOFTWARE... pag.

Nadere informatie

Arduino CURSUS. door Willy - 09-juni-2017

Arduino CURSUS. door Willy - 09-juni-2017 Arduino CURSUS door Willy - w2@skynet.be, 09-juni-2017 OVERZICHT (1) OVERZICHT (2) Historiek Microcontroller (1) Microcontroller (2) Specificaties - Arduino UNO bord Specificaties - ATmega328P chip LET

Nadere informatie

tentoinfinity Apps 1.0 INLEIDING

tentoinfinity Apps 1.0 INLEIDING tentoinfinity Apps Una Help-inhoud Auteursrecht 2013-2015 door tentoinfinity Apps. Alle rechten voorbehouden. De inhoud is voor het laatst bijgewerkt op Augustus 6, 2015. Extra ondersteuningsbronnen beschikbaar

Nadere informatie

The easy choise of the pro

The easy choise of the pro The easy choise of the pro Eenvoudig, voordelig en betrouwbaar LINDAB NV Zeeschipstraat 149 B-9000 GENT Tel.: 09 385 50 11 Fax: 09 385 60 62 CADVENT verantwoordelijke : Filip Lioen 0473/85.96.45 Lindab

Nadere informatie

Vermogen snelheid van de NXT

Vermogen snelheid van de NXT Vermogen snelheid van de NXT Inleiding In deze meting gaan we op zoek naar een duidelijk verband tussen de vermogens die je kunt instellen op de LEGO NXT en de snelheid van het standaardwagentje uit het

Nadere informatie

Draadloos embedded meetsysteem via Bluetooth

Draadloos embedded meetsysteem via Bluetooth Draadloos embedded meetsysteem via Bluetooth Kristof Booghmans 3 PB - MCT Inhoud van deze presentatie Bespreking van de opbouw Metingen Microcontroller en programma in C Relais Draadloze communicatie en

Nadere informatie

Labo Digitale Systemen

Labo Digitale Systemen 6 labozittingen: 1u30 Permanente evaluatie, laatste labozitting evaluatie (+ files afgeven) Cursus: http://telescript.denayer.wenk.be/~kvb/labo_digitale_systemen Wat? Implementatie van een parametrische

Nadere informatie

Pajottenlandse Radio Amateurs

Pajottenlandse Radio Amateurs Pajottenlandse Radio Amateurs ON3BL 07/02/2014 SDR ontvangst met RTL-stick Waarvoor staat de afkorting SDR? SDR Software Defined Radio Definitie van Software Defined Radio Een radiocommunicatiesysteem

Nadere informatie

HOOFDSTUK 6 : AFREGELPROCEDURES

HOOFDSTUK 6 : AFREGELPROCEDURES HOOFDSTUK 6 : AFREGELPROCEDURES 6.1. Inleiding. Nu we de racks ontworpen en gemonteerd hebben, moeten we de schakelingen nog afregelen. Dit is noodzakelijk omdat ze voorzien zijn van trimmers die een fijnregeling

Nadere informatie

Case Simulink EE4- Building a SSV - Team PM1 21 maart 2014

Case Simulink EE4- Building a SSV - Team PM1 21 maart 2014 Case Simulink EE4- Building a SSV - Team PM1 21 maart 2014 Inhoudsopgave Inhoudsopgave... 1 Figurenlijst... 1 Inleiding... 2 Gedrag van het zonnepaneel gekoppeld aan een weerstand... 2 Gedrag van de DC-motor

Nadere informatie

Release notes PCTrans. Release notes PCTrans. Aantekeningen voor PCTrans 5.0 ( )

Release notes PCTrans. Release notes PCTrans. Aantekeningen voor PCTrans 5.0 ( ) Aantekeningen voor 5.0 (20180501) De preferences schermen voor de file in-uitvoer zijn gewijzigd. Zowel de lay-out als een aantal benamingen is aangepast. Tevens is er een optie bijgekomen voor de standaard

Nadere informatie