Labo Digitale Systemen

Maat: px
Weergave met pagina beginnen:

Download "Labo Digitale Systemen"

Transcriptie

1 6 labozittingen: 1u30 Permanente evaluatie, laatste labozitting evaluatie (+ files afgeven) Cursus: Wat? Implementatie van een parametrische audio equalizer FPGA: Xilinx XUP Virtex-II Pro User I/O via expansion board

2 Parametrische Audio Equalizer: 3 parameters: K: Versterking/verzwakkingsfactor Alpha: Bandbreedte Beta: Frequentie Demo! Parametrische Audio Equalizer: Hoe implementeren? VHDL schrijven Ontwerpen via Xilinx System Generator = subset van Simulink = grafische omgeving om snel DSP applicaties te ontwikkelen

3 Xilinx System Generator: Maak via GUI hardware designs Blokken slepen uit bibliotheken Automatische VHDL code generatie Bibliotheken bestaan uit: Eenvoudige basisblokken: logische poorten, registers, Basisblokken: tellers, FSMs, schuifregisters, Complexe blokken: geheugeninterfaces, FFTs, Ontwerpmethodologie: Problemen? Start = algoritme Simuleer en ontwerp in Simulink Converteer naar Xilinx System Generator blockset (manueel) 2 grote problemen: floating point fixed point conversie (bitbreedtes?) latency in hardware (bv. multipliers) oplossingen zie volgende labozittingen

4 Simulink: Mini-tutorial Algoritme: Begin met 1ste orde: 2 parameters (K en alpha) All pass:

5 Algoritme: Begin met 1ste orde: 2 parameters (K en alpha) All pass:

6 Combineer beide:

7 2 parameters: K en alpha Opdracht 1: ontwerp de 1ste orde allpass filter in Simulink Input = random source Output = spectrum analyzer Alpha = slider gain

8 Opdracht 2: integreer de 1ste orde allpass filter in het HP en LP netwerk = shelving equalizer Opdracht 3: Bij K > 0.5: de TF gaat de frequentie die we willen onderdrukken doorlaten (A = 1) en de overige frequenties versterken Herbekijk het LP & HP framework en zoek een oplossing zodat bij K > 0.5 het schema effectief de frequentie onderdrukt, en de overige doorlaat (met A = 1) Parametric equalizer: 3 parameters All-pass = 2de orde (2 parameters)

9 All-pass 2de orde: Totale framework blijft hetzelfde: 2de orde met juiste K!

10 Pole/zero plot: Opdracht 4: Ontwerp de 2de orde all-pass filter in Simulink Opdracht 5: Integreer de 2de orde all-pass in het LP & HP framework (met de juiste K implementatie!)

11 Xilinx System Generator tutorial Elk design: steeds Xilinx System Generator token includen Conversie tussen floating-point en fixed-point gebeurd via Gateway-blocks Fixed-point: format is steeds van de vorm Fix_16_13

12 Oefeningen: Let op! In HW hebben multipliers latency van aantal clockcycles nodig! Ga in de bibliotheek opzoek naar blokken die hiervoor kunnen gebruikt worden Tip: samplerate

13 Opdracht 6: Converteer de 1ste orde all-pass filter naar Xilinx System Generator blokken Opdracht 7: Converteer het 1ste orde LP & HP framework naar Xilinx System Generator blokken Opdracht 8: Converteer de 2de orde all-pass filter naar Xilinx System Generator blokken Opdracht 9: Converteer het 2de orde LP & HP framework naar Xilinx System Generator blokken Equalizer Implementation

14 Audio Equalizer Implementation Step 1: working simulation (correct upsample see further) Remember: Input/output: fixed [16, 14] Multipliers have latency Audio Equalizer Implementation Problems when implementing design: User I/O for parameters? Design clockspeed? Interface to Audio Codec?

15 Audio Equalizer Implementation User I/O for parameters? Implemented in Xilinx System Generator: K, Alpha and Beta from ROM (depth = 16) ROM address generated from counter ROM initial value vector defines parameters K: Alpha: Beta: Counter enabled by user push buttons Need Up/Down FSM for debouncing and pulse detection Gateway in is boolean Audio Equalizer Implementation Interfacing: K_value Alpha_value Beta_value Alpha_up Alpha_down K_up K_down Beta_up Beta_down

16 Audio Equalizer Implementation Design clockspeed? Audio Codec is 24 MHz by DCM Audio Coded sends 48 khz Set Tsysclk=1/ ; in Matlab workspace or in model properties Set FPGA clock period at 1000/24 ns Set Simulink system Tsysclk Set gateway_in sample 500*Tsysclk Design upsample_rate X 48 khz Designclock is derived from Fsysclk Fsysclk/(Upsample_rate x 48 khz) must be integer 500/upsample_rate must be integer Upsample can only be 1/2/4/5/10/25/50/ Audio Equalizer Implementation Interface to Audio Codec? Given by Xilinx XUP Virtex-2 Pro Development System AC97 Controller Initializes CODEC And handles data Transfer & timing AC97 CODEC sdata_tx sdata_rx sync AC97_clk ( MHz) AC97reset_n AC97 CNTRL clk_in XC2VP30 (xupv2pwrapper.ngc) PCM_record_left PCM_record_right new_sample PCM_playback_left PCM_playback_right HDL code generated from System Generator model YOUR_DESIGN.vhd audio_left_in audio_right_in CE audio_left_out audio_right_out CLK 100 MHz Clock DCM 48 KHz rate 24 MHz System Clock

17 Audio Equalizer Implementation Next steps? Step 1: build the System Generator user io model Step 2: generate RTL from Xilinx ISE Project is created automatically Step 3: Open ISE project and add the following files: Insert Audio Codec Insert equalizer_top.vhd Insert ClockGen.xaw Insert equalizer.ucf Audio Equalizer Implementation Next steps? Step 4: you have to: Portmap your audio_equalizer Portmap user_io Write VHDL binary to 7-seg decoder for parameters Complete UCF file Generate bitstream and test! All files found on:

GNU-radio 20 okt 2017 pe2rid. GNUradio.. Linux. internet. veel geduld

GNU-radio 20 okt 2017 pe2rid. GNUradio.. Linux. internet. veel geduld . Linux. internet. veel geduld 1 en windows? niet onmogelijk, maar 2 sources hardware interfaces bewerking sinks Audio source File source Signal source Noise source RX hardware RTL-SDR USRP HackRF. DSP

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Elektor Live Software Defined Radio. Pascal Schiks & Martin Dudok van Heel

Elektor Live Software Defined Radio. Pascal Schiks & Martin Dudok van Heel Elektor Live Software Defined Radio Pascal Schiks & Martin Dudok van Heel Software Defined Radio Wat is dat? Een radio zend- of ontvanginstallatie waarin: Elektronica is vervangen door software Het radiosignaal

Nadere informatie

EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1

EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1 EDK Walkthrough: Hardwareontwerp met GPIO en UART Deel 1 1. Doel Kennismaking met de EDK van Xilinx: - begrijpen van de ontwerpomgeving en design flow - aanmaken van een Xilinx Platform Studio project

Nadere informatie

EM7680 Firmware Update by OTA

EM7680 Firmware Update by OTA EM7680 Firmware Update by OTA 2 NEDERLANDS/ENGLISH EM7680 Firmware update by OTA Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren... 3 3.0 (NL) Release notes:... 3 4.0 (NL) Overige

Nadere informatie

1. Voor het installeren wordt geadviseerd een backup te maken van uw database en bestanden.

1. Voor het installeren wordt geadviseerd een backup te maken van uw database en bestanden. NL: KiyOh.nl gebruikers kunnen met deze plug in automatisch klantbeoordelingen verzamelen, publiceren en delen in social media. Wanneer een klant een bestelling heeft gemaakt in uw Magento Shop, wordt

Nadere informatie

Settings for the C100BRS4 MAC Address Spoofing with cable Internet.

Settings for the C100BRS4 MAC Address Spoofing with cable Internet. Settings for the C100BRS4 MAC Address Spoofing with cable Internet. General: Please use the latest firmware for the router. The firmware is available on http://www.conceptronic.net! Use Firmware version

Nadere informatie

Handleiding beheer lijst.hva.nl. See page 11 for Instruction in English

Handleiding beheer lijst.hva.nl. See page 11 for Instruction in English Handleiding beheer lijst.hva.nl See page 11 for Instruction in English Maillijsten voor medewerkers van de Hogeschool van Amsterdam Iedereen met een HvA-ID kan maillijsten aanmaken bij lijst.hva.nl. Het

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 1: Installeren en verifiëren van de SDE Door Hugo Arends, augustus 2012 Microcontrollers Een microcontroller is een elektronische component dat gebruikt wordt om (complexe)

Nadere informatie

XILINX ISE getstarted

XILINX ISE getstarted XILINX ISE getstarted Een stap voor stap oefenhandleiding om een VHDL-ontwerp te simuleren en synthetiseren in XILINX ISE 10.1 Alle screenshots zijn uit het vrij beschikbare XILINX ISE softwarepakket.

Nadere informatie

Maillijsten voor medewerkers van de Universiteit van Amsterdam

Maillijsten voor medewerkers van de Universiteit van Amsterdam See page 11 for Instruction in English Maillijsten voor medewerkers van de Universiteit van Amsterdam Iedereen met een UvAnetID kan maillijsten aanmaken bij list.uva.nl. Het gebruik van de lijsten van

Nadere informatie

EM7680 Firmware Update by Micro SD card

EM7680 Firmware Update by Micro SD card EM7680 Firmware Update by Micro SD card 2 NEDERLANDS/ENGLISH EM7680 Firmware update by Micro SD card Table of contents 1.0 (NL) Introductie... 2 2.0 (NL) Firmware installeren... 2 3.0 (NL) Opmerking...

Nadere informatie

L.Net s88sd16-n aansluitingen en programmering.

L.Net s88sd16-n aansluitingen en programmering. De L.Net s88sd16-n wordt via één van de L.Net aansluitingen aangesloten op de LocoNet aansluiting van de centrale, bij een Intellibox of Twin-Center is dat de LocoNet-T aansluiting. L.Net s88sd16-n aansluitingen

Nadere informatie

ICBC (Europe) S.A. Amsterdam Branch

ICBC (Europe) S.A. Amsterdam Branch ICBC (Europe) S.A. Amsterdam Branch Overboeken binnen uw eigen rekening Transfer Between your own account 个 人 账 户 转 账 2 ICBC Express 工 银 速 汇 3 Overboeking binnen SEPA Payment within SEPA SEPA 区 内 转 账 4

Nadere informatie

EM7580 Firmware Update by Micro SD card

EM7580 Firmware Update by Micro SD card EM7580 Firmware Update by Micro SD card 2 NEDERLANDS/ENGLISH EM7580 Firmware update by Micro SD card Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren... 3 3.0 (NL) Opmerking...

Nadere informatie

Herconfigureerbare Hardware in Ieders Bereik

Herconfigureerbare Hardware in Ieders Bereik Herconfigureerbare Hardware in Ieders Bereik Prof. Dirk Stroobandt Universiteit Gent Vakgroep ELIS Onderzoeksgroep PARIS http://www.elis.ugent.be/~dstr/ Overzicht Nood aan digitale verwerking van gegevens

Nadere informatie

EM6250 Firmware update V030507

EM6250 Firmware update V030507 EM6250 Firmware update V030507 EM6250 Firmware update 2 NEDERLANDS/ENGLISH Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren... 3 3.0 (NL) Release notes:... 5 1.0 (UK) Introduction...

Nadere informatie

EM7680 Firmware Auto-Update for Kodi 17.2

EM7680 Firmware Auto-Update for Kodi 17.2 EM7680 Firmware Auto-Update for Kodi 17.2 2 NEDERLANDS/ENGLISH EM7680 Firmware Auto-update for Kodi 17.2 Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren... 3 3.0 (NL) Opmerking...

Nadere informatie

L.Net s88sd16-n aansluitingen en programmering.

L.Net s88sd16-n aansluitingen en programmering. De L.Net s88sd16-n wordt via één van de L.Net aansluitingen aangesloten op de LocoNet aansluiting van de centrale, bij een Intellibox of Twin-Center is dat de LocoNet-T aansluiting. L.Net s88sd16-n aansluitingen

Nadere informatie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie

DDS chips. DDS = Direct Digital (frequency) Synthesis. Output = sinusvormig signaal. Maximum frequentie = ½ klokfrequentie www.arduino.cc Arduino en DDS DDS chips DDS = Direct Digital (frequency) Synthesis Output = sinusvormig signaal Maximum frequentie = ½ klokfrequentie Frequentie bepaald door tuning word Grootste fabrikant:

Nadere informatie

SI-Profinet. Unidrive M200-M400 en Siemens S PLC (TIA portal)

SI-Profinet. Unidrive M200-M400 en Siemens S PLC (TIA portal) Omschrijving: In dit document wordt stap voor stap uitgelegd hoe met TIA portal de communicatie opgezet kan worden tussen een Siemens S7-500 PLC en een Unidrive M400 met V2 module. Dit document behandelt

Nadere informatie

MyDHL+ Van Non-Corporate naar Corporate

MyDHL+ Van Non-Corporate naar Corporate MyDHL+ Van Non-Corporate naar Corporate Van Non-Corporate naar Corporate In MyDHL+ is het mogelijk om meerdere gebruikers aan uw set-up toe te voegen. Wanneer er bijvoorbeeld meerdere collega s van dezelfde

Nadere informatie

EM7680 Firmware Update by Micro SD card or USB

EM7680 Firmware Update by Micro SD card or USB EM7680 Firmware Update by Micro SD card or USB 2 NEDERLANDS/ENGLISH EM7680 Firmware update by Micro SD card or USB Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren... 3 3.0 (NL)

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 10e hoorcollege Arjan van Genderen, Stephan Wong, Computer Engineering 13-5-2013 Delft University of Technology Challenge the future Hoorcollege 10

Nadere informatie

Hardware-software Co-design

Hardware-software Co-design Jan Genoe KHLim Versie: maandag 10 juli 2000 Pagina 1 Wat is HW/SW Co-design Traditioneel design: De verdeling tussen de HW en de SW gebeurt bij het begin en beiden worden onafhankelijk ontwikkeld Verweven

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Randappartuur. yvan vander sanden. 23 november 2014

Randappartuur. yvan vander sanden. 23 november 2014 Randappartuur yvan vander sanden 23 november 2014 ! muis, toetsenbord, scherm! speakers! scanners, printers! barcode readers! Drivers Zonder driver kan een apparaat niet werken. Device Drivers installeer

Nadere informatie

Shipment Centre EU Quick Print Client handleiding [NL]

Shipment Centre EU Quick Print Client handleiding [NL] Shipment Centre EU Quick Print Client handleiding [NL] Please scroll down for English. Met de Quick Print Client kunt u printers in Shipment Centre EU configureren. De Quick Print Client kan alleen op

Nadere informatie

Automating Complex Workflows using Processing Modeler

Automating Complex Workflows using Processing Modeler Automating Complex Workflows using Processing Modeler QGIS Tutorials and Tips Author Ujaval Gandhi http://google.com/+ujavalgandhi Translations by Dick Groskamp This work is licensed under a Creative Commons

Nadere informatie

Ineke Imbo.

Ineke Imbo. Ineke Imbo http://users.ugent.be/~iimbo/teaching.htm Ineke.Imbo@UGent.be Praktisch Les 1 (6/10/11 om 13u) Inleiding Kennismaken met E-Studio Les 2 (12/10/11 om 10u) Vervolg E-Studio Kennismaken met E-Basic

Nadere informatie

LDAP Server on Yeastar MyPBX & tiptel 31xx/32xx series

LDAP Server on Yeastar MyPBX & tiptel 31xx/32xx series LDAP Server on Yeastar MyPBX & tiptel 31xx/32xx series Tiptel b.v. Camerastraat 2 1322 BC Almere tel.: +31-36-5366650 fax.: +31-36-5367881 info@tiptel.nl Versie 1.2.0 (09022016) Nederlands: De LDAP server

Nadere informatie

Inhoudsopgave. Optimalisatie van de mmips. Forwarding optie 1. Design flow. implementation

Inhoudsopgave. Optimalisatie van de mmips. Forwarding optie 1. Design flow. implementation 2 Inhoudsopgave Optimalisatie van de mmips pc Sander Stuijk Veel gestelde vragen Hoe moet ik forwarding implementeren? Hoe moet ik clipping implementeren? Waarom is mijn simulatie zo traag? Hoe kan ik

Nadere informatie

FAAC DRIVER. Driver install procedure for FAAC boards. Installatieprocedure voor driver voor FAAC-kaarten.

FAAC DRIVER. Driver install procedure for FAAC boards. Installatieprocedure voor driver voor FAAC-kaarten. FAAC DRIVER Driver install procedure for FAAC boards Installatieprocedure voor driver voor FAAC-kaarten www.record-toegangstechniek.nl 1 When a FAAC board (E124 or E145) is connected to the USB port, it

Nadere informatie

Inductiemeter via de parallelle poort

Inductiemeter via de parallelle poort K.T.A.1-Gent "De Lindenlei" Lindenlei 38 9000 Gent Tel: 09.225.33.04 en 09.225.43.42 Fax: 09.225.52.88 Geïntegreerde proef Inductiemeter via de parallelle poort Naam: Michaël Clinckspoor Richting: Industriële

Nadere informatie

2019 SUNEXCHANGE USER GUIDE LAST UPDATED

2019 SUNEXCHANGE USER GUIDE LAST UPDATED 2019 SUNEXCHANGE USER GUIDE LAST UPDATED 0 - -19 1 WELCOME TO SUNEX DISTRIBUTOR PORTAL This user manual will cover all the screens and functions of our site. MAIN SCREEN: Welcome message. 2 LOGIN SCREEN:

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Add the standing fingers to get the tens and multiply the closed fingers to get the units.

Add the standing fingers to get the tens and multiply the closed fingers to get the units. Digit work Here's a useful system of finger reckoning from the Middle Ages. To multiply $6 \times 9$, hold up one finger to represent the difference between the five fingers on that hand and the first

Nadere informatie

The first line of the input contains an integer $t \in \mathbb{n}$. This is followed by $t$ lines of text. This text consists of:

The first line of the input contains an integer $t \in \mathbb{n}$. This is followed by $t$ lines of text. This text consists of: Document properties Most word processors show some properties of the text in a document, such as the number of words or the number of letters in that document. Write a program that can determine some of

Nadere informatie

Introductie in flowcharts

Introductie in flowcharts Introductie in flowcharts Flow Charts Een flow chart kan gebruikt worden om: Processen definieren en analyseren. Een beeld vormen van een proces voor analyse, discussie of communicatie. Het definieren,

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

Een intelligent DMX netwerk

Een intelligent DMX netwerk WORKSHOP STEPP Een intelligent DMX netwerk WORKSHOP STEPP Wat is DMX? Een intelligent DMX netwerk Demo opstelling Probleem oplossing Wat is DMX? Hoe is het DMX signaal ontstaan DMX in de praktijk Hoe

Nadere informatie

Plotten. technisch tekenwerk AUTOCAD 2000

Plotten. technisch tekenwerk AUTOCAD 2000 Inleiding Voor het plotten van uw bent u bij Lifoka aan het juiste adres. Snel, betrouwbaar en dat in grote of kleine oplagen. Niet alleen het plotten, maar ook vergaren en verzenden kan Lifoka voor u

Nadere informatie

Interface tussen Stuurbediening en Sony autoaudio

Interface tussen Stuurbediening en Sony autoaudio The information in this document is in Dutch, English version follows later in this document Interface tussen Stuurbediening en Sony autoaudio LET OP! HOEWEL DE UITERSTE ZORGVULDIGHEID IS BETRACHT BIJ

Nadere informatie

Contents. Introduction Problem Definition The Application Co-operation operation and User friendliness Design Implementation

Contents. Introduction Problem Definition The Application Co-operation operation and User friendliness Design Implementation TeleBank Contents Introduction Problem Definition The Application Co-operation operation and User friendliness Design Implementation Introduction - TeleBank Automatic bank services Initiates a Dialog with

Nadere informatie

EM7680 Firmware Update by Micro SD card or USB stick

EM7680 Firmware Update by Micro SD card or USB stick EM7680 Firmware Update by Micro SD card or USB stick 2 NEDERLANDS/ENGLISH EM7680 Firmware update by Micro SD card or USB stick Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren...

Nadere informatie

EM7580 Firmware Update by Micro SD card

EM7580 Firmware Update by Micro SD card EM7580 Firmware Update by Micro SD card 2 NEDERLANDS/ENGLISH EM7580 Firmware update by Micro SD card Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren... 3 3.0 (NL) Opmerking...

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Voor deze oefeningenles heb je de handleiding van de uitgedeelde ARM processor nodig. Je kan deze vinden op de website van het

Nadere informatie

Project Name: New project

Project Name: New project 1/19/218-1:31 AM 1/31 CONTENTS Bill Of Material... 5 Controller... 5 Modules... 5 Hardware Configuration... 6 MyController - TM221CE4R... 6 Digital Inputs... 6 Digital Outputs... 7 Analog Inputs... 7 Fast

Nadere informatie

Open source VoIP Networks

Open source VoIP Networks Open source VoIP Networks Standard PC hardware inexpensive add-in vs. embedded designs Ing. Bruno Impens Overview History Comparison PC - Embedded More on VoIP VoIP Hardware VoIP more than talk More...

Nadere informatie

Referentie Handleiding

Referentie Handleiding Version 1.1.5 Referentie Handleiding DiscretePhoton H.264 encoder DiscretePhoton www.discretephoton.com Referentie Handleiding Over DiscretePhoton H.264-encoder DiscretePhoton H.264 encoder Windows versie

Nadere informatie

CTI SUITE TSP DETAILS

CTI SUITE TSP DETAILS CTI SUITE TSP DETAILS TAPI allows an application to access telephony services provided by a telecom PABX. In order to implement its access to ETRADEAL, a TAPI interface has been developed by Etrali. As

Nadere informatie

LDA Topic Modeling. Informa5ekunde als hulpwetenschap. 9 maart 2015

LDA Topic Modeling. Informa5ekunde als hulpwetenschap. 9 maart 2015 LDA Topic Modeling Informa5ekunde als hulpwetenschap 9 maart 2015 LDA Voor de pauze: Wat is LDA? Wat kan je er mee? Hoe werkt het (Gibbs sampling)? Na de pauze Achterliggende concepten à Dirichlet distribu5e

Nadere informatie

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten 2018/2019 Elektrotechniek Semester 1.2 Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Wasif

Nadere informatie

z 1 Dit tentamen bestaat uit zes opgaven (50 punten) Opgave 1 (8 punten) Gegeven het volgende systeem:

z 1 Dit tentamen bestaat uit zes opgaven (50 punten) Opgave 1 (8 punten) Gegeven het volgende systeem: ELEKTRONISCHE SIGNAALBEWERKING ET 245 D: digitale signaalbewerking 24 augustus 2, 4: 7: Open boek tentamen, alle studiematerialen en aantekeningen toegelaten Dit tentamen bestaat uit zes opgaven (5 punten)

Nadere informatie

Afstudeerprocedure Master Pedagogische Wetenschappen

Afstudeerprocedure Master Pedagogische Wetenschappen Afstudeerprocedure Master Pedagogische Wetenschappen * FOR THE ENGLISH VERSION SEE BELOW * 1. Log in op ProgRESS WWW (https://progresswww.nl/rug/) en meld je aan voor de Afstudeerprocedure MA PW & OWK

Nadere informatie

Arduino CURSUS. door Willy - 09-juni-2017

Arduino CURSUS. door Willy - 09-juni-2017 Arduino CURSUS door Willy - w2@skynet.be, 09-juni-2017 OVERZICHT (1) OVERZICHT (2) Historiek Microcontroller (1) Microcontroller (2) Specificaties - Arduino UNO bord Specificaties - ATmega328P chip LET

Nadere informatie

UCXLog. Log en Contest programma. www.veron.nl. UCXLog by DL7UCX

UCXLog. Log en Contest programma. www.veron.nl. UCXLog by DL7UCX UCXLog Log en Contest programma UCXLog by DL7UCX Versie: : 24 mei 2008 Agenda 08:00 Presentatie 08:45 Demonstratie 09:15 Pauze 09:30 Workshop Wat is een logboek programma? Digitaal opslaan van je papieren

Nadere informatie

Beschrijving bij de tweedejaarscasus. Meten en Regelen. M.J.G. van de Molengraft R.J.E. Merry. 26 januari 2004. http://www.dct.tue.

Beschrijving bij de tweedejaarscasus. Meten en Regelen. M.J.G. van de Molengraft R.J.E. Merry. 26 januari 2004. http://www.dct.tue. Beschrijving bij de tweedejaarscasus Meten en Regelen M.J.G. van de Molengraft R.J.E. Merry 26 januari 2004 http://www.dct.tue.nl Het meten aan en regelen van een printerkop De printer Bij het printen

Nadere informatie

Hertentamen 8D040 - Basis beeldverwerking

Hertentamen 8D040 - Basis beeldverwerking Hertentamen 8D040 - Basis beeldverwerking 6 augustus 203, 4:00-7:00 Opmerkingen: Maak elke opgave op een apart vel. Antwoord op vraag 4 mag gewoon in het Nederlands. Een gewone rekenmachine is toegestaan.

Nadere informatie

Wat is Arduino? Arduino = microprocessor (Atmel)

Wat is Arduino? Arduino = microprocessor (Atmel) Intro tot Arduino Wat is Arduino? Volgens de website: Arduino is an open-source electronics prototyping platform based on flexible, easy-to-use hardware and software. It's intended for artists, designers,

Nadere informatie

Installatie Handleiding. Twan Wintjes

Installatie Handleiding. Twan Wintjes Installatie Handleiding voor AVCHDCoder Twan Wintjes 1 Hoofdstuk 1: Installatie... 3 1.1: Installeer AVCHDCoder... 3 1.2: Installeer ffdshow, Avisynth en Haali Media Splitter... 3 1.3: Ffdshow configureren...

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Digital Signal Processing in Software Defined Radio

Digital Signal Processing in Software Defined Radio Digital Signal Processing in Software Defined Radio RF seminar presentatie Bram de Ridder, pe2rid 1 Onderwerpen Waarom Software Defined Radio Digital Signal Processing (DSP) - Digitaliseren van analoge

Nadere informatie

i ll take off to the cloud

i ll take off to the cloud i ll take off to the cloud Webbased applicaties gebouwd door ILE programmeurs Gepresenteerd door: Drs. Martijn van Breden Lead software architect Pantheon Automatisering 26-4-2017 1 Historie Pantheon Ontstaan

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Handleiding registreren in de portal

Handleiding registreren in de portal Handleiding registreren in de portal How to register in the portal Handleiding registreren in de portal How to register in the portal Ga naar 1 http://printen.ricoh.nl/mureaders Go to http://printen.ricoh.nl/mureaders

Nadere informatie

Pajottenlandse Radio Amateurs

Pajottenlandse Radio Amateurs Pajottenlandse Radio Amateurs ON3BL 07/02/2014 SDR ontvangst met RTL-stick Waarvoor staat de afkorting SDR? SDR Software Defined Radio Definitie van Software Defined Radio Een radiocommunicatiesysteem

Nadere informatie

Registratie- en activeringsproces voor de Factuurstatus Service NL 1 Registration and activation process for the Invoice Status Service EN 10

Registratie- en activeringsproces voor de Factuurstatus Service NL 1 Registration and activation process for the Invoice Status Service EN 10 QUICK GUIDE B Registratie- en activeringsproces voor de Factuurstatus Service NL 1 Registration and activation process for the Invoice Status Service EN 10 Version 0.19 (Oct 2016) Per May 2014 OB10 has

Nadere informatie

Procedure Reset tv-toestellen:

Procedure Reset tv-toestellen: Procedure Reset tv-toestellen: Volgende procedure is te volgen wanneer er een tv-toestel, op een van de kamers niet meer werkt. TV Re-installation Factory Default Her-installeren van de TV Fabrieksinstellingen

Nadere informatie

Deel 2 S7 Graph Ont4 - GA3

Deel 2 S7 Graph Ont4 - GA3 Deel 2 S7 Graph Ont4 - GA3 Deel 2 : Graph 09/05 1 Wanneer er in een installatie een sequentiële beweging geprogrammeerd moet worden is het interessant om gebruik te maken van S7 Graph. De progammastructuur

Nadere informatie

Internet of Things (IoT)

Internet of Things (IoT) Internet of Things (IoT) KNX visie en strategische richting Een nieuwe kijk op KNX implementaties Martin van Ling, Hestia Domotica B.V. KNX Professionals, 22 juni 2017 KNX IoT Huidige KNX ecosysteem (KNXnet/

Nadere informatie

Hoofdstuk 7. Computerarchitectuur

Hoofdstuk 7. Computerarchitectuur Hoofdstuk 7 Computerarchitectuur 1 controlebus CPU MEMORY I/O databus adresbus Figuur 71 Schematische opbouw van een computersysteem 8 Figuur 72 Een busverbinding Buslijn Out E A In Out E B In Out E C

Nadere informatie

Registratie- en activeringsproces voor de Factuurstatus Service NL 1 Registration and activation process for the Invoice Status Service EN 11

Registratie- en activeringsproces voor de Factuurstatus Service NL 1 Registration and activation process for the Invoice Status Service EN 11 QUICK GUIDE B Registratie- en activeringsproces voor de Factuurstatus Service NL 1 Registration and activation process for the Invoice Status Service EN 11 Version 0.14 (July 2015) Per May 2014 OB10 has

Nadere informatie

Beste ouder / verzorger, Welkom bij Mijnschoolinfo, het communicatie platform voor basisonderwijs.

Beste ouder / verzorger, Welkom bij Mijnschoolinfo, het communicatie platform voor basisonderwijs. Handleiding Registratie Mijnschoolinfo en App Nederlandstalig pagina 1-7 Registration Procedure Mijnschoolinfo and App English version see page : 8-16 Beste ouder / verzorger, Welkom bij Mijnschoolinfo,

Nadere informatie

BathySurvey. A Trimble Access hydrographic survey module

BathySurvey. A Trimble Access hydrographic survey module BathySurvey A Trimble Access hydrographic survey module Contents 1. Introduction... 3 2. Installation... 4 3. Main Screen... 5 4. Device... 6 5. Jobs... 7 6. Settings Odom Echotrac... 8 7. Settings Ohmex

Nadere informatie

Wat is een busverbinding?

Wat is een busverbinding? Wat is een busverbinding? gemeenschappelijke verbinding tussen CPU, geheugen en I/O-schakelingen onderscheid tussen: databus/adresbus/controlbus intern/extern serieel/parallel unidirectioneel/bidirectioneel

Nadere informatie

Het koppelen van de FC280 aan Profinet.

Het koppelen van de FC280 aan Profinet. Het koppelen van de FC280 aan Profinet. Snelle start handleiding Solar Technical Services Inhoudsopgave: Het gebruikte test materiaal.... 2 Instellingen van de FC280 corrigeren via de MCT10 software....

Nadere informatie

PLUS & PRO. Addendum installatie aanvullende MID 65A kwh-meter - Addendum installation additional MID 65A kwh-meter SET

PLUS & PRO. Addendum installatie aanvullende MID 65A kwh-meter - Addendum installation additional MID 65A kwh-meter SET PLUS & PRO Addendum installatie aanvullende MID 65A kwh-meter - Addendum installation additional MID 65A kwh-meter 1 Aansluiten MID 65A kwh-meter Adres instellen MID 65A kwh-meter Maxem kan verschillende

Nadere informatie

Risk & Requirements Based Testing

Risk & Requirements Based Testing Risk & Requirements Based Testing Tycho Schmidt PreSales Consultant, HP 2006 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice Agenda Introductie

Nadere informatie

Cameramanager LSU Installation Guide

Cameramanager LSU Installation Guide Cameramanager LSU Installation Guide Network based video surveillance server Version 1.1 / August 2009 Copyright 2010 - Cameramanager.com Page 1 LSU installation guide index 1. Connecting the LSU to your

Nadere informatie

Preschool Kindergarten

Preschool Kindergarten Preschool Kindergarten Objectives Students will recognize the values of numerals 1 to 10. Students will use objects to solve addition problems with sums from 1 to 10. Materials Needed Large number cards

Nadere informatie

Tutorial 1, Delphi: Geldspraak

Tutorial 1, Delphi: Geldspraak Tutorial 1, Delphi: Geldspraak Versie Datum Auteurs Opmerkingen 1 25-09-2001 Kees Hemerik (code) Gebaseerd op Delphi 5 Enterprise. Roel Vliegen (tekst) 2 17-03-2005 Kees Hemerik Aanpassingen: Delphi 7,

Nadere informatie

Media en creativiteit. Winter jaar vier Werkcollege 7

Media en creativiteit. Winter jaar vier Werkcollege 7 Media en creativiteit Winter jaar vier Werkcollege 7 Kwartaaloverzicht winter Les 1 Les 2 Les 3 Les 4 Les 5 Les 6 Les 7 Les 8 Opbouw scriptie Keuze onderwerp Onderzoeksvraag en deelvragen Bespreken onderzoeksvragen

Nadere informatie

8+ 60 MIN Alleen te spelen in combinatie met het RIFUGIO basisspel. Only to be played in combination with the RIFUGIO basicgame.

8+ 60 MIN Alleen te spelen in combinatie met het RIFUGIO basisspel. Only to be played in combination with the RIFUGIO basicgame. 8+ 60 MIN. 2-5 Alleen te spelen in combinatie met het RIFUGIO basisspel. Only to be played in combination with the RIFUGIO basicgame. HELICOPTER SPEL VOORBEREIDING: Doe alles precies hetzelfde als bij

Nadere informatie

Design Data Management voor FPGA ontwikkeling

Design Data Management voor FPGA ontwikkeling Design Data Management voor FPGA ontwikkeling Al snel heb je bij electronica ontwikkeling met Design Data Management te maken, zo ook bij FGPA ontwikkeling. Er wordt immers code gegenereerd die beheerd

Nadere informatie

SAMPLE 11 = + 11 = + + Exploring Combinations of Ten + + = = + + = + = = + = = 11. Step Up. Step Ahead

SAMPLE 11 = + 11 = + + Exploring Combinations of Ten + + = = + + = + = = + = = 11. Step Up. Step Ahead 7.1 Exploring Combinations of Ten Look at these cubes. 2. Color some of the cubes to make three parts. Then write a matching sentence. 10 What addition sentence matches the picture? How else could you

Nadere informatie

Tips & Tricks for TUE students doing Architecture Reconstruction with Rascal

Tips & Tricks for TUE students doing Architecture Reconstruction with Rascal SWAT - Software Analysis and Transformation Tips & Tricks for TUE students doing Architecture Reconstruction with Rascal Jurgen Vinju Davy Landman https://gist.github.com/jurgenvinju/8972255 http://update.rascal-mpl.org/unstable

Nadere informatie

Gebruikershandleiding Axitour AT-900 audio communicatiesysteem

Gebruikershandleiding Axitour AT-900 audio communicatiesysteem Gebruikershandleiding Axitour AT-900 audio communicatiesysteem 1. Algemene informatie 1.1 Introductie Het Axitour AT-900 audio communicatiesysteem is een van de meest geavanceerde rondleidingsystemen op

Nadere informatie

Uitleg geven hoe men een VPN connectie kan opzetten i.c.m. een RSA token.

Uitleg geven hoe men een VPN connectie kan opzetten i.c.m. een RSA token. Pagina 1 van 7 Inhoudsopgave 1 Doel Nr. Naam Pagina 1 Doel 1 2 Toepassingsgebied 1 3 Verantwoordelijkheden 1 4 Procedure gebruik Juniper VPN client 2 4.1 Starten van VPN verbinding 2 4.2 Stoppen van VPN

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy6 2e jaar bachelor AI, 2e semester 21 oktober 213, 9u-11u OMHP D.9 vraag 1 Van een Single Cycle Harvard machine hebben de componenten de volgende propagation delay time:

Nadere informatie

TOEGANG VOOR NL / ENTRANCE FOR DUTCH : https://www.stofs.co.uk/en/register/live/?regu lator=c&camp=24759

TOEGANG VOOR NL / ENTRANCE FOR DUTCH : https://www.stofs.co.uk/en/register/live/?regu lator=c&camp=24759 DISCLAIMER : 1. Het is een risicovolle belegging / It is an investment with risc. 2. Gebruik enkel geld dat u kan missen / Only invest money you can miss. 3. Gebruik de juiste procedure / Use the correct

Nadere informatie

I.S.T.C. Intelligent Saving Temperature Controler

I.S.T.C. Intelligent Saving Temperature Controler MATEN & INFORMATIE I.S.T.C. Intelligent Saving Temperature Controler Deze unieke modulerende zender, als enige ter wereld, verlaagt het energieverbruik aanzienlijk. Het werkt in combinatie met de energy

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 6 metastabiliteit, synchronisatie Jesse op den Brouw DIGSE1/2016-2017 Synchronisatie Een complex digitaal systeem bestaat uit combinatorische en sequentiele logica (poorten

Nadere informatie

After that, the digits are written after each other: first the row numbers, followed by the column numbers.

After that, the digits are written after each other: first the row numbers, followed by the column numbers. Bifid cipher The bifid cipher is one of the classical cipher techniques that can also easily be executed by hand. The technique was invented around 1901 by amateur cryptographer Felix Delastelle. The cipher

Nadere informatie

II. Control Design Practice

II. Control Design Practice II. Control Design Practice Modeling System Configurations Classical Design Techniques Advanced Techniques Simulation and Evaluation II- Modeling Intuitive Model Understanding of the cause-effect relationship

Nadere informatie

Esther Lee-Varisco Matt Zhang

Esther Lee-Varisco Matt Zhang Esther Lee-Varisco Matt Zhang Want to build a wine cellar Surface temperature varies daily, seasonally, and geologically Need reasonable depth to build the cellar for lessened temperature variations Building

Nadere informatie

MyDHL+ Exportzending aanmaken

MyDHL+ Exportzending aanmaken MyDHL+ Exportzending aanmaken Exportzending aanmaken In MyDHL+ is het aanmaken van een exportzending zo eenvoudig mogelijk gemaakt. De website en deze handleiding zal u stap voor stap erdoorheen leiden.

Nadere informatie