Computerarchitectuur 2016 Inleveropdracht 3: Exploiting Memory Hierarchies in Modern Systems

Maat: px
Weergave met pagina beginnen:

Download "Computerarchitectuur 2016 Inleveropdracht 3: Exploiting Memory Hierarchies in Modern Systems"

Transcriptie

1 Computerarchitectuur 2016 Inleveropdracht 3: Exploiting Memory Hierarchies in Modern Systems Gesuggereerde Deadline: zondag 27 november 2016 Zoals we in het hoorcollege uitgebreid hebben besproken spelen geheugenhiërarchieën een cruciale rol in hedendaagse computersystemen. In deze opdracht zullen we gaan kijken hoe we onze kennis hiervan kunnen gebruiken om het gedrag van programma s te begrijpen en te optimaliseren. Tevens is er de mogelijkheid een programma te schrijven dat de geheugenhiërarchie van een systeem analyseert. Bij de analyse van het gedrag van de programma s zullen we gebruik maken van hardware performance counters. Deze tellers houden allerlei gebeurtenissen in de processor bij, zoals het aantal cache misses, verkeerd voorspelde branches, enzovoort. Op Linux-systemen kunnen we met het programma perf de hardware performance counters uitlezen 1. We kunnen dit bijvoorbeeld doen voor het programma ls: $ perf stat ls -1 /usr/bin > /dev/null Performance counter stats for ls -1 /usr/bin : task-clock # CPUs utilized 1 context-switches # M/sec 0 CPU-migrations # M/sec 448 page-faults # M/sec 16,994,789 cycles # GHz <not supported> stalled-cycles-frontend <not supported> stalled-cycles-backend 30,483,289 instructions # 1.79 insns per cycle 4,958,601 branches # M/sec 91,145 branch-misses # 1.84% of all branches seconds time elapsed We krijgen allerlei statistieken te zien zoals het aantal uitgevoerde instructies en het aantal clock cycles dat is gebruikt. Met de optie -e kunnen we andere events opgeven, -r geeft aan hoe vaak het experiment moet worden herhaald: $ perf stat -e instructions:u,cycles:u,cache-misses:u,cache-references:u -r 5 \ ls -1 /usr/bin > /dev/null Performance counter stats for ls -1 /usr/bin (5 runs): 25,515,700 instructions:u # 1.96 insns per cycle ( % ) 13,013,545 cycles:u # GHz ( % ) 1,644 cache-misses:u # % of all cache refs ( % ) 21,742 cache-references:u ( % ) seconds time elapsed ( % ) De toevoeging :u duidt aan dat alleen events binnen user-space moeten worden geteld, events binnen de kernel tijdens het uitvoeren van dit programma tellen niet mee. Nog drie punten van aandacht: 1 perf staat alleen geïnstalleerd in zalen 302, 303, 305 en 306 1

2 Alle gerapporteerde getallen zijn schattingen en geen exacte aantallen. Het exact tellen van events brengt zeer veel overhead met zich mee; in feite zou de machine dan alleen maar bezig zijn met het verwerken van de counters en niet met het uitvoeren van het programma zelf. Meet niet te veel events tegelijkertijd. De hardware kan maar een vast aantal events tegelijkertijd meten. Als er meer events worden opgegeven, dan moet de tijd tussen de events worden verdeeld en dit komt de nauwkeurigheid niet ten goede. Voor de nauwkeurigheid van de metingen helpt het vaak om het programma toe te wijzen aan een vaste core. Dit kan als volgt: taskset -c 1./mijnprogramma. En in combinatie met perf stat: perf stat -e cycles:u taskset -c 1./mijnprogramma. Verder is het zeer belangrijk om in de gaten te houden wat er precies wordt geteld bij de verschillende events. Voor cache-misses wordt het aantal LLC (Last-Level Cache of Longest-Latency Cache) misses geteld. cache-references telt het aantal keer dat de last-level cache is benaderd. Het programma perf kent een lijst ingebouwde events die kunnen worden bekeken met het commando perf list. Niet alle events staan echter in deze lijst. Wanneer je een event wilt meten dat niet in de lijst staat, maar wel wordt ondersteund door de processor, dan moet je de exacte code van het event opgeven. Ter inspiratie: r0151 L1D.REPLACEMENT Aantal cache-lines dat in de L1 data cache is ingelezen. r01d1 MEM LOAD UOPS RETIRED.L1 HIT Het aantal retired operaties dat resulteerde in een L1 hit. Retired houdt in dat de operatie de reorder buffer (ROB) verlaat en dus ook moest worden uitgevoerd. Speculatief uitgevoerde instructies die uiteindelijk niet moesten worden uitgevoerd, worden nooit retired. r08d1 MEM LOAD UOPS RETIRED.L1 MISS Op dezelfde manier het aantal L1 misses. r02d1 MEM LOAD UOPS RETIRED.L2 HIT Op dezelfde manier het aantal L2 hits. r10d1 MEM LOAD UOPS RETIRED.L2 MISS Op dezelfde manier het aantal L2 misses. r04d1 MEM LOAD UOPS RETIRED.LLC HIT Op dezelfde manier het aantal LLC (L3) hits. r20d1 MEM LOAD UOPS RETIRED.LLC MISS Op dezelfde manier het aantal LLC (L3) misses. Een dergelijke code wordt gewoon opgegeven bij het -e argument, bijvoorbeeld -e r02d1:u. De liefhebber kan een volledige lijst van events terugvinden in Hoofdstuk 19 van Volume 3 (System Programming Guide) van de Intel 64 and IA-32 Architectures Software Developer s Manual Tenslotte is het belangrijk om in de gaten te houden op welke processor je aan het experimenteren bent. Verschillende processoren gebruiken verschillende codes voor de performance counters en hebben verschillende cache eigenschappen. Met het programma lscpu kunnen een aantal eigenschappen van de processor worden weergegeven. De Dell-machines in zaal 302 bevatten een Intel Core i (dit kan worden opgezocht met cat /proc/cpuinfo) en dit is een chip van de Ivy Bridge generatie, ofwel een derde-generatie Intel Core processor. Deze processor beschikt over 32K L1 data cache per core, 256K L2 cache per core en 8M gedeelde L3 cache. Opgaven Zorg dat je in het ca2016 environment zit, zodat je gebruik kan maken van een recente versie van de gcc compiler: 2

3 source /vol/share/groups/liacs/scratch/ca2016/ca2016.bashrc 1. Als eerste bekijken we een implementatie van matrixvermenigvuldiging. Zie matrixmul.c in de materialen. Gebruik perf om het gedrag van het programma in kaart te brengen. Hoeveel cache misses vinden er plaats? Wat gebeurt er wanneer N (zien bovenaan het bestand) wordt veranderd? Wat is de CPI van het programma? Schrijf in je verslag een korte discussie over je bevindingen. Vermeld ook het processor-type waarop de analyse is uitgevoerd! 2. Maak een kopie van de source code en pas Loop Blocking toe op de matrixvermenigvuldigingsroutine. Kies een geschikte block size om mee te beginnen (in ieder geval een macht van 2!). Denk bij het kiezen van de initiële block size aan de grootte van de L1 cache en wat voor data daar moet worden opgeslagen. Voer vervolgens experimenten uit met de geblokte versie van matrixvermenigvuldiging. Is de performance beter (CPI)? Is het aantal cache misses afgenomen? Is de executietijd afgenomen? Wat gebeurt er voor verschillende waarden van de block size? Plaats de code van je geblokte matrixvermenigvuldiging in je verslag. En schrijf weer een aantal paragrafen over je bevindingen. 3. Het bestand matrixvecadd.c bevat een code (add vec) die elke kolom van een matrix met 2 vermenigvuldigt en daar een kolomvector b bij optelt. Benchmark het programma. Bekijk de source code van add vec. Wat zou je aan deze routine kunnen veranderen om de performance te verbeteren? Pas deze verandering toe en analyseer of deze affect heeft. Plaats in het verslag de aangepaste routine en een discussie over het effect van de aanpassingen. Met behulp van SIMD-instructies is het mogelijk om de performance van de routine verder te verbeteren. De processor in de Dell-machine ondersteunt AVX SIMD instructies. Door gebruik te maken van intrinsics kunnen we deze instructies in ons C-programma gebruiken zonder assembly code te schrijven. Er moeten speciale datatypen worden gebruikt die vectoren voorstellen. Bijvoorbeeld: /* Maak een 256-bit vector met 8 floats en geef elk element de waarde 19 */ float mul = 19.; m256 mul_vector = _mm256_broadcast_ss (&mul); /* Laad 8 floating-point waarden uit het geheugen in een vector, startende bij * het gegeven adres (pointer). */ float array[1024]; m256 tmp1 = _mm256_load_ps (&array[0]); De suffix ss staat voor scalar single-precision en ps voor packed single-precision. packed duidt aan dat het argument meerdere waarden bevat (en dus een vector is). Handig bij het programmeren is de Intel Intrinsics Guide: IntrinsicsGuide/. Je kunt op elke intrinsic klikken voor informatie en documentatie. Gebruik AVX instructies en geen AVX2 instructies (deze laatste worden door deze hardware niet ondersteund). 4. Schrijf nu een SIMD-variant van je geoptimaliseerde add vec routine. Ga uit van N = Benchmark de code en probeer met behulp van SIMD een hogere performance te behalen 3

4 dan de niet-simd code. In je verslag plaats je de SIMD code en een korte discussie. aantal instructies en de CPI. Kijk bijvoorbeeld naar het 5. Voor de liefhebbers: schrijf een programma dat het aantal caches en de grootte van de caches in een systeem kan bepalen. Dit wordt gedaan door data te verwerken in steeds grotere arrays. Voor de verschillende arrays wordt de executietijd bepaald. Dit alles leidt tot een plot waarin de verschillende caches zichtbaar zijn, de plot heeft de vorm van een trap. Stuur het geschreven programma mee en schrijf voor het verslag een korte discussie aan de hand van de geproduceerde plot. Komt de plot min of meer overeen met de caching statistieken zoals gerapporteerd door lscpu? Een aantal hints en tips: Inleveren Je hebt een goede timer nodig om de executietijd van de test-loop te meten. Bijvoorbeeld: #include <time.h> struct timespec start, end, elapsed; clock_gettime(clock_process_cputime_id, &start); /*... */ clock_gettime(clock_process_cputime_id, &end); timespec_subtract(&elapsed, &end, &start); Voor een implementatie van timespec subtract zie libc/manual/html_node/elapsed-time.html. Het experiment moet worden uitgevoerd voor arrays van oplopende grootte. Begin bijvoorbeeld met 256 bytes en vermenigvuldig de grootte steeds met 2. Ga door tot ten minste 64 * 1024 * 1024 bytes. Een experiment bestaat uit de volgende stappen: (1) alloceer een array van de gewenste grootte, (2) initialiseer de array, (3) roep een functie aan die de cache trasht (effectief leegmaakt), (4) lees de array en herhaal dit 1000 keer, (5) geheugen vrijgeven. Cache trashing kan worden gedaan door een array te maken die ruim groter is dan de L3 cache en deze bijvoorbeeld 5 maal volledig te beschrijven. Het lezen van de array moet gebeuren met een niet-regulier toegangspatroon. Als dit niet wordt gedaan, worden de caches niet zichtbaar omdat de prefetcher het toegangspatroon herkent en alle data al klaar kan zetten. Je moet dus zorgen voor een toegangspatroon dat de prefetcher niet kan herkennen. Wat je kunt doen, is de array zo initialiseren dat elk array element wijst naar het volgende te lezen element. En het laatste element wijst weer naar index 0. Dus bijvoorbeeld a[0] = 3, a[3] = 9, a[9] = 11, a[11] = 0. Zorg dat de sprongen die worden gemaakt niet regulier zijn! Gebruik bijvoorbeeld 8 verschillende increments (strides) die je na elkaar gebruikt, zoals hierboven: +3, +6, +2, enz. Het experiment bewandelt dan deze ketting (next = a[next]) totdat je 0 weer tegenkomt. Er mag worden gewerkt in duo s. Het volgende moet worden ingeleverd: 4

5 Het verslag, in tekst- of PDF-formaat. Zorg ervoor dat alle bestanden die worden ingeleverd (source code, verslag, enz.) zijn voorzien van naam en studentnummer! Plaats alle bestanden om in te leveren in een aparte directory (bijv., opdracht3) en maak een gzipped tar bestand (het is prima als source code en verslag in dezelfde tar.gz terechtkomen): tar -czvf opdracht3-sxxxxxxx-syyyyyyy.tar.gz opdracht3/ Vul op de plek van XXXXXXX en YYYYYYY de bijbehorende studentnummers in. De inzendingen kunnen worden verzonden per naar ca2016 (at) handin.liacs.nl met als onderwerp CA Opdracht 3. Vermeld in de ook namen en studentnummers. 5

Computerarchitectuur. Terugblik / discussie / oefenopgaven

Computerarchitectuur. Terugblik / discussie / oefenopgaven Computerarchitectuur Terugblik / discussie / oefenopgaven Kristian Rietveld http://ca.liacs.nl/ Trends & Performance Voorkennis We bouwden een 4-bit microprocessor bij Digitale Technieken. Bij computerarchitectuur

Nadere informatie

Uitwerking oefententamen Computerarchitectuur December 2016

Uitwerking oefententamen Computerarchitectuur December 2016 Uitwerking oefententamen Computerarchitectuur December 2016 I.a. De overall CPI kan worden berekend met de formule n IC i CPI Instruction count CPI i voor de ratio vullen we telkens de geven frequentie

Nadere informatie

Computerarchitectuur. App. B. Review of Memory Hierarchy

Computerarchitectuur. App. B. Review of Memory Hierarchy Computerarchitectuur App. B. Review of Memory Hierarchy Kristian Rietveld http://ca.liacs.nl/ Caching De memory wall is een zeer groot probleem dat vraagt om oplossingen. De gebruikelijke oplossing is

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy6 2e jaar bachelor AI, 2e semester 21 oktober 213, 9u-11u OMHP D.9 vraag 1 Van een Single Cycle Harvard machine hebben de componenten de volgende propagation delay time:

Nadere informatie

High Performance Computing

High Performance Computing High Performance Computing Kristian Rietveld (krietvel@liacs.nl, kamer 138) Groep Computer Systems High-Performance Computing Optimizing compilers (generieke codes, maar ook specifieke rekenkernels). Parallel

Nadere informatie

High Performance Computing

High Performance Computing High Performance Computing Kristian Rietveld (krietvel@liacs.nl, kamer 138) Groep Computer Systems - Embedded systems - Specifieke software mappen op specfieke hardware. - Hardware synthesis. - Real-time

Nadere informatie

Hoofdstuk 3: Processen: Beschrijving en Besturing. Wat is een proces? Waarom processen? Wat moet het OS ervoor doen? Is het OS zelf een proces?

Hoofdstuk 3: Processen: Beschrijving en Besturing. Wat is een proces? Waarom processen? Wat moet het OS ervoor doen? Is het OS zelf een proces? Hoofdstuk 3: Processen: Beschrijving en Besturing Wat is een proces? Waarom processen? Wat moet het OS ervoor doen? Is het OS zelf een proces? 1 Wat is een proces? Een proces is een programma in uitvoering

Nadere informatie

Digitale en analoge technieken

Digitale en analoge technieken Digitale en analoge technieken Peter Slaets February 14, 2006 Peter Slaets () Digitale en analoge technieken February 14, 2006 1 / 33 Computerarchitectuur 1 Processors 2 Primair geheugen 3 Secundair geheugen

Nadere informatie

Examen computerarchitectuur

Examen computerarchitectuur Examen computerarchitectuur Vrijdag 8 juni 2007, 14u00 Prof. Koen De Bosschere Naam, Voornaam: Richting: Belangrijk 1. Vergeet niet uw naam en voornaam te vermelden. 2. Schrijf de antwoorden in de daarvoor

Nadere informatie

Centrale begrippen hoofdstuk 3. Waarom multiprogramming? Vandaag. processen proces state: running, ready, blocked,... Vragen??

Centrale begrippen hoofdstuk 3. Waarom multiprogramming? Vandaag. processen proces state: running, ready, blocked,... Vragen?? Vragen?? Vandaag Hoofdstuk 4: threads (tentamenstof : 4.1 t/m 4.2) Kleine Opgaven 4.1 (niet alleen ja of nee, ook waarom!) en 4.4 inleveren maandag Centrale begrippen hoofdstuk 3 processen proces state:

Nadere informatie

Computerarchitectuur. H&P App. C. Pipelining

Computerarchitectuur. H&P App. C. Pipelining Computerarchitectuur H&P App. C. Pipelining Kristian Rietveld http://ca.liacs.nl/ Motivatie Pipelining is een techniek die tegenwoordig in iedere CPU wordt gebruikt om de performance te verbeteren. Idee:

Nadere informatie

Examen computerarchitectuur

Examen computerarchitectuur Examen computerarchitectuur Woensdag 22 juni 2005, 8u30 Prof. Koen De Bosschere Naam, Voornaam: Richting: Belangrijk 1. Vergeet niet uw naam en voornaam te vermelden. 2. Schrijf de antwoorden in de daarvoor

Nadere informatie

Uitwerking Tentamen Operating Systems Maandag 15 juni 2015 P1 P2 P3 P4 P5 P1 P3 P5 P4 P2 P1 P3 P5 P3. Opgave 1

Uitwerking Tentamen Operating Systems Maandag 15 juni 2015 P1 P2 P3 P4 P5 P1 P3 P5 P4 P2 P1 P3 P5 P3. Opgave 1 Uitwerking Tentamen Operating Systems Maandag 15 juni 2015 Belangrijk: de gegeven antwoorden vormen één mogelijke uitwerking van het tentamen. Echter zijn er bij vele vragen meerdere correcte antwoorden

Nadere informatie

informatica. hardware. overzicht. moederbord CPU RAM GPU architectuur (vwo)

informatica. hardware. overzicht. moederbord CPU RAM GPU architectuur (vwo) informatica hardware overzicht moederbord CPU RAM GPU architectuur (vwo) 1 moederbord basis van de computer componenten & aansluitingen chipset Northbridge (snel) Southbridge ("traag") bussen FSB/HTB moederbord

Nadere informatie

AFO 142 Titel Aanwinsten Geschiedenis

AFO 142 Titel Aanwinsten Geschiedenis AFO 142 Titel Aanwinsten Geschiedenis 142.1 Inleiding Titel Aanwinsten Geschiedenis wordt gebruikt om toevoegingen en verwijderingen van bepaalde locaties door te geven aan een centrale catalogus instantie.

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Let op dat de scoping regels gerespecteerd blijven; het volgende voorbeeld mag geen fout melden.

Let op dat de scoping regels gerespecteerd blijven; het volgende voorbeeld mag geen fout melden. Vrije Universiteit Brussel Faculteit Wetenschappen Vakgroep Computerwetenschappen Academiejaar 2009 2010: tweede examenzittijd Interpretatie van Computerprogrammaʼs I schriftelijke test Voorafgaandelijk:

Nadere informatie

Statistiek voor Natuurkunde Opgavenserie 1: Kansrekening

Statistiek voor Natuurkunde Opgavenserie 1: Kansrekening Statistiek voor Natuurkunde Opgavenserie 1: Kansrekening Inleveren: 12 januari 2011, VOOR het college Afspraken Serie 1 mag gemaakt en ingeleverd worden in tweetallen. Schrijf duidelijk je naam, e-mail

Nadere informatie

Memory Management. Virtual Memory. Eisen Memory Management. Verdelen geheugen over meerdere processen

Memory Management. Virtual Memory. Eisen Memory Management. Verdelen geheugen over meerdere processen Memory Management Process control information Entry point to program Process Control Block Verdelen geheugen over meerdere processen Program Branch instruction Virtual Memory Data Reference to data Processen

Nadere informatie

Singularity. Uit de wereld van de rekenclusters. Handleiding van Helpmij.nl. Auteur: Erik98

Singularity. Uit de wereld van de rekenclusters. Handleiding van Helpmij.nl. Auteur: Erik98 Singularity. Uit de wereld van de rekenclusters Handleiding van Helpmij.nl Auteur: Erik98 januari 2018 Singularity Uit de wereld van de rekenclusters. Zit je als professional met langdurige berekeningen?

Nadere informatie

' Het tentamen is gesloten boek, dus het is niet toegestaan om het tekstboek, slides of eigen gemaakte aantekeningen te gebruiken.

' Het tentamen is gesloten boek, dus het is niet toegestaan om het tekstboek, slides of eigen gemaakte aantekeningen te gebruiken. Tentamen Operating Systems Dinsdag 14 juni 2016,10:00-13:00 Examinator: dr. K. F. D. Rietveld ' Het tentamen is gesloten boek, dus het is niet toegestaan om het tekstboek, slides of eigen gemaakte aantekeningen

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 2: Architectuur ATmega32 en STK500 Door Hugo Arends, september 2012 Architectuur ATmega32 Een uitgebreide en gedetailleerde beschrijving van de ATmega32 microcontroller

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy06 2e jaar bachelor AI, 2e semester 23 september 2013 13u-15u IWO 4.04A (blauw), Academisch Medisch Centrum, Meidreef 29, Amsterdam ZuidOost Het is niet toegestaan communicatieapparatuur

Nadere informatie

Computerarchitectuur en netwerken Toets 1 4 okt

Computerarchitectuur en netwerken Toets 1 4 okt 11.00 13.00 De open vragen moet je beantwoorden op tentamenpapier. De multiple-choice antwoorden moet je op het vragenblad invullen in de rechtervakjes en dat blad inleveren. Schrijf je naam, studentnummer

Nadere informatie

Computerarchitectuur en netwerken. Memory management Assembler programmering

Computerarchitectuur en netwerken. Memory management Assembler programmering Computerarchitectuur en netwerken 2 Memory management Assembler programmering Lennart Herlaar 10 september 2018 Inhoud 1 Protectie: Hoe het O.S. programma s tegen elkaar kan beschermen modes memory management

Nadere informatie

Computerarchitectuur. H&P Ch 5. Thread-Level Parallelism

Computerarchitectuur. H&P Ch 5. Thread-Level Parallelism Computerarchitectuur H&P Ch 5. Thread-Level Parallelism Kristian Rietveld http://ca.liacs.nl/ Thread-Level Parallelism In het geval van thread-level parallelism (TLP) gaan we uit van meerdere threads.

Nadere informatie

Beter, Sneller, Mooier. Processoren 12 januari 2015

Beter, Sneller, Mooier. Processoren 12 januari 2015 Beter, Sneller, Mooier Processoren 12 januari 2015 Beter! Sneller! Krachtigere CPU: maak instructies die meer doen Snellere CPU: pipeline, out-of-order execution Sneller RAM: cache meer mogelijkheden...

Nadere informatie

Debugging in embedded en native systemen met GDB

Debugging in embedded en native systemen met GDB Debugging in embedded en native systemen met GDB A.M. ten Doesschate July 15, 2015 Abstract Een korte beschrijving : intro gebruik met welke tools en hulpmiddelen van de GDB setup en een summier aantal

Nadere informatie

Examen Programmeren 2e Bachelor Elektrotechniek en Computerwetenschappen Faculteit Ingenieurswetenschappen Academiejaar juni, 2010

Examen Programmeren 2e Bachelor Elektrotechniek en Computerwetenschappen Faculteit Ingenieurswetenschappen Academiejaar juni, 2010 Examen Programmeren 2e Bachelor Elektrotechniek en Computerwetenschappen Faculteit Ingenieurswetenschappen Academiejaar 2009-2010 16 juni, 2010 **BELANGRIJK** 1. Schrijf je naam onderaan op elk blad. 2.

Nadere informatie

Computerarchitectuur. Ch 4. Data-Level Parallelism

Computerarchitectuur. Ch 4. Data-Level Parallelism Computerarchitectuur Ch 4. Data-Level Parallelism Kristian Rietveld http://ca.liacs.nl/ Data-Level Parallelism Nu we de limieten hebben gezien van parallelisme tussen instructies, kunnen we parallelisme

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

computerarchitectuur antwoorden

computerarchitectuur antwoorden 2017 computerarchitectuur antwoorden F. Vonk versie 1 2-8-2017 inhoudsopgave hardware... - 3 - CPU... - 3 - bussen... - 4 - bridges... - 4 - RAM... - 4 - hardware architectuur... - 5 - Dit werk is gelicenseerd

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

1 Aanvulling cosy deeltijd

1 Aanvulling cosy deeltijd 1 Aanvulling cosy deeltijd 1.1 Multiprocessor versus multicomputer Het kenmerk van een multiprocessor is dat meer CPU hetzelfde geheugen delen. Voordeel van deze aanpak is het relatief eenvoudige programmeermodel.

Nadere informatie

Het Eindfeest. Algoritmiek Opgave 6, Voorjaar

Het Eindfeest. Algoritmiek Opgave 6, Voorjaar 1 Achtergrond Het Eindfeest Algoritmiek Opgave 6, Voorjaar 2017 1 Om het (successvol) afsluiten van Algoritmiek te vieren, is er een groot feest georganiseerd. Jij beschikt als enige van je vrienden over

Nadere informatie

Installatie-instructies

Installatie-instructies Installatie-instructies Inhoud Software installeren 2 Hoe installeer ik Coach Junior voor het VMBO? Software instellen 4 Wat moet ik instellen zodat de software goed werkt? Hardware aansluiten 6 Mogelijke

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 5: Timers/counters & Interrupts Timers/counters Hardware timers/counters worden in microcontrollers gebruikt om onafhankelijk van de CPU te tellen. Hierdoor kunnen andere

Nadere informatie

Examen Geavanceerde Computerarchitectuur

Examen Geavanceerde Computerarchitectuur Examen Geavanceerde Computerarchitectuur Academiejaar 2006-2007 Dinsdag 16 januari 2007, 14u00 Prof. dr. ir. L. Eeckhout Richting: Enkele opmerkingen vooraf: Vul eerst en vooral op ieder blad Uw naam en

Nadere informatie

Programmeren in C++ Efficiënte zoekfunctie in een boek

Programmeren in C++ Efficiënte zoekfunctie in een boek Examen Software Ontwikkeling I 2e Bachelor Informatica Faculteit Wetenschappen Academiejaar 2010-2011 21 januari, 2011 **BELANGRIJK** 1. Lees eerst de volledige opgave (inclusief de hints/opmerkingen)!

Nadere informatie

Vraag 1 (2 punten) (iii) Een lees-opdracht van virtueel adres 2148 seg 0, offset 2148 - idem

Vraag 1 (2 punten) (iii) Een lees-opdracht van virtueel adres 2148 seg 0, offset 2148 - idem Tentamen A2 (deel b) 24-06-2004 Geef (liefst beknopte en heldere) motivatie bij je antwoorden; dus niet enkel ja of nee antwoorden, maar ook waarom. Geef van berekeningen niet alleen het eindresultaat,

Nadere informatie

Examen Geavanceerde Computerarchitectuur

Examen Geavanceerde Computerarchitectuur Examen Geavanceerde Computerarchitectuur Academiejaar 2010-2011 Maandag 17 januari 2010, 8u30 Prof. dr. ir. L. Eeckhout Naam: Richting: Enkele opmerkingen vooraf: Vul eerst en vooral op ieder blad Uw naam

Nadere informatie

Hoofdstuk 7: Werken met arrays

Hoofdstuk 7: Werken met arrays Programmeren in Microsoft Visual Basic 6.0, lessenserie voor het voortgezet onderwijs HAVO/VWO David Lans, Emmauscollege, Marnix Gymnasium Rotterdam, januari 2004 Hoofdstuk 7: Werken met arrays 7.0 Leerdoel

Nadere informatie

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte

Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Opgave Tussentijdse Oefeningen Jaarproject I Reeks 3: Tijd, licht en warmte Voor deze oefeningenles heb je de handleiding van de uitgedeelde ARM processor nodig. Je kan deze vinden op de website van het

Nadere informatie

Programmeren en Wetenschappelijk Rekenen in Python. Wi1205AE I.A.M. Goddijn, Faculteit EWI 15 mei 2014

Programmeren en Wetenschappelijk Rekenen in Python. Wi1205AE I.A.M. Goddijn, Faculteit EWI 15 mei 2014 Programmeren en Wetenschappelijk Rekenen in Python Wi1205AE, 15 mei 2014 Bijeenkomst 8 Onderwerpen Het sneller maken van een programma Het gebruik van tijd, gebeurtenissen (muisklik,indrukken toets van

Nadere informatie

Examen Geavanceerde Computerarchitectuur

Examen Geavanceerde Computerarchitectuur Examen Geavanceerde Computerarchitectuur Academiejaar 2008-2009 Maandag 30 januari 2009, 14u00 Prof. dr. ir. L. Eeckhout Voornaam: Naam: Richting: Enkele opmerkingen vooraf: Vul eerst en vooral op ieder

Nadere informatie

Concurrency. Gerard Tel / Jacco Bikker - november 2015 januari Welkom!

Concurrency. Gerard Tel / Jacco Bikker - november 2015 januari Welkom! Concurrency Gerard Tel / Jacco Bikker - november 2015 januari 2016 Welkom! Agenda: Wat vooraf ging Taken en Data Hardware Performance Theorie Concurrency college 3 Hardware 3 Previously in Concurrency

Nadere informatie

Examen computerarchitectuur

Examen computerarchitectuur Examen computerarchitectuur Dinsdag 16 juni 2009, 14u00 Prof. Koen De Bosschere Richting: Naam: Belangrijk 1. Vergeet niet uw naam te vermelden. 2. Schrijf de antwoorden in de daarvoor voorziene ruimte.

Nadere informatie

Tentamen Computerorganisatie in aug. 1999, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 9

Tentamen Computerorganisatie in aug. 1999, uur. N.B.: Dit tentamen bestaat uit 30 opgaven Totaal aantal bladzijden: 9 TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Subfaculteit Technische Wiskunde en Informatica Werkeenheid Technische Informatica Tentamen Computerorganisatie in101 27 aug. 1999,

Nadere informatie

Tentamen Programmeren in C (EE1400)

Tentamen Programmeren in C (EE1400) TU Delft Tentamen Programmeren in C (EE1400) 3 feb. 2012, 9.00 12.00 Faculteit EWI - Zet op elk antwoordblad je naam en studienummer. - Beantwoord alle vragen zo nauwkeurig mogelijk. - Wanneer C code gevraagd

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 1: Installeren en verifiëren van de SDE Door Hugo Arends, augustus 2012 Microcontrollers Een microcontroller is een elektronische component dat gebruikt wordt om (complexe)

Nadere informatie

Installatiehandleiding TiC Narrow Casting Manager

Installatiehandleiding TiC Narrow Casting Manager Installatiehandleiding TiC Narrow Casting Manager Inhoudsopgave 1. Algemeen - 3-2. Installatie PostgreSQL database server - 4-3. Installatie FTP server - 9-4. Aanmaken account in FileZilla server - 13

Nadere informatie

CLA-CMA ilab laboratory results v3.0 (mét bij-aanvragen, materiaal aanvragen en andere tools)

CLA-CMA ilab laboratory results v3.0 (mét bij-aanvragen, materiaal aanvragen en andere tools) CLA-CMA ilab laboratory results v3.0 (mét bij-aanvragen, materiaal aanvragen en andere tools) (ilab werkt met alle internetbrowsers: in de User Guide werd Mozilla Firefox v3.0.3 gebruikt. In de Annex werd

Nadere informatie

college 2: Introductie

college 2: Introductie Concurrency Gerard Tel / Jacco Bikker - november 2017 februari 2018 college 2: Welkom! Agenda: Concepten en patronen Multi-threading in C# Concurrency college 2 3 Concurrency college 2 4 Jacco Bikker j.bikker@uu.nl

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Een.NET-besturingssysteemtoolkit. Discovering Cosmos. Sijmen J. Mulder

Een.NET-besturingssysteemtoolkit. Discovering Cosmos. Sijmen J. Mulder Een.NET-besturingssysteemtoolkit Discovering Cosmos Sijmen J. Mulder Agenda Boek 1 Cosmos: a very short introduction Boek 2 Modern Operating Systems Pauze Boek 3 The Design and Implementation of the Cosmos

Nadere informatie

Computerarchitectuur. Hoofdstuk 3: Instruction-Level Parallelism

Computerarchitectuur. Hoofdstuk 3: Instruction-Level Parallelism Computerarchitectuur Hoofdstuk 3: Instruction-Level Parallelism Kristian Rietveld http://ca.liacs.nl/ Instruction-level Parallelism Doel: gebruik maken van potentiële overlap tussen opeenvolgende instructies.

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Uitwerkingen vorige opgavenserie Behandelen oefenopgaven

Nadere informatie

4/5 Installatieservers

4/5 Installatieservers Netwerk Services 4/5 Installatieservers 4/5.1 Een Su SE -installatieserver maken 4/5.1.1 Inleiding Als u maar één server te installeren hebt, doet u dat natuurlijk vanaf de installatie-dvd. Als er meerdere

Nadere informatie

Examen Software Ontwikkeling I 2e Bachelor Informatica Academiejaar Januari, **BELANGRIJK** : Schrijf je naam onderaan dit blad

Examen Software Ontwikkeling I 2e Bachelor Informatica Academiejaar Januari, **BELANGRIJK** : Schrijf je naam onderaan dit blad Examen Software Ontwikkeling I 2e Bachelor Informatica Academiejaar 2006-2007 23 Januari, 2007 **BELANGRIJK** : Schrijf je naam onderaan dit blad Leg volgende 3 begrippen kort en bondig uit : a. Concurrent

Nadere informatie

Computerarchitectuur. Ch 4. Data-Level Parallelism

Computerarchitectuur. Ch 4. Data-Level Parallelism Computerarchitectuur Ch 4. Data-Level Parallelism Kristian Rietveld http://ca.liacs.nl/ Data-Level Parallelism Nu we de limieten hebben gezien van parallelisme tussen instructies, kunnen we parallelisme

Nadere informatie

Windows NT 4.0. Performance Monitoring. Gino Damen 28 oktober 1997 Versie: 1.0

Windows NT 4.0. Performance Monitoring. Gino Damen 28 oktober 1997 Versie: 1.0 Performance Monitoring Gino Damen 28 oktober 1997 Versie: 1.0 Inhoudsopgave 1. Opbouwen en starten van een systeem log...2 1.1. Aandachtspunten...2 1.2. Aanmaken van een log file...2 1.3. Starten van het

Nadere informatie

VirtualBox: hoe werkt het?

VirtualBox: hoe werkt het? VirtualBox: hoe werkt het? Handleiding van Auteur: ReD123NaX Oktober 2014 VirtualBox: hoe werkt het? In deze nieuwsbrief ga ik wat vertellen over virtualisatie. Virtualisatie is dé uitkomst wanneer je

Nadere informatie

Computerarchitectuur. Hoofdstuk 1: Introductie

Computerarchitectuur. Hoofdstuk 1: Introductie Computerarchitectuur Hoofdstuk 1: Introductie Kristian Rietveld http://ca.liacs.nl/ Inhoud Bestuderen van de opbouw van moderne computerarchitecturen. Wat gebeurt er allemaal binnen een Central Processing

Nadere informatie

Hoofdstuk 7. Computerarchitectuur

Hoofdstuk 7. Computerarchitectuur Hoofdstuk 7 Computerarchitectuur 1 controlebus CPU MEMORY I/O databus adresbus Figuur 71 Schematische opbouw van een computersysteem 8 Figuur 72 Een busverbinding Buslijn Out E A In Out E B In Out E C

Nadere informatie

College 13: Patterns (2)

College 13: Patterns (2) Concurrency Gerard Tel / Jacco Bikker - november 2016 februari 2017 College 13: Patterns (2) Welkom! genda: Collective Operations Reduce Scan Stencil Recurrence Concurrency college 13 Patterns (2) 3 Collectives

Nadere informatie

Computerarchitectuur. H&P Ch 2. Memory Hierarchy Design

Computerarchitectuur. H&P Ch 2. Memory Hierarchy Design Computerarchitectuur H&P Ch 2. Memory Hierarchy Design Kristian Rietveld http://ca.liacs.nl/ Motivatie Hoe dichter bij de CPU, hoe sneller het geheugen. - Maar ook: kleiner en duurder. Programmeurs willen

Nadere informatie

Studentnummer:... Opleiding:... a) Met welke term wordt het interface tussen software en hardware van een processor aangeduid?

Studentnummer:... Opleiding:... a) Met welke term wordt het interface tussen software en hardware van een processor aangeduid? Computerorganisatie (213110) Dinsdag 15 augustus 2000, 13.30 17.00 uur 7 bladzijden met 6 opgaven 3 bladzijden met documentatie Bij dit tentamen mag geen gebruik worden gemaakt van boeken, dictaten of

Nadere informatie

Dynamisch geheugen beheer

Dynamisch geheugen beheer Dynamisch geheugen beheer + Normaal wordt laats in het werkgeheugen gereserveerd tijdens de comilatie aan de hand van de declaraties van de variabelen. + Deze geheugenreservering is statisch: in het bronbestand

Nadere informatie

Inhoud vandaag. Interrupts. Algemeen ARM7 AIC

Inhoud vandaag. Interrupts. Algemeen ARM7 AIC Inhoud vandaag Interrupts Algemeen ARM7 AIC Interrupts Wat is een interrupt? Een interrupt is een onderbreking van de huidige bezigheden ten gevolge van een externe gebeurtenis, zodanig dat de bezigheden

Nadere informatie

Examen Geavanceerde Computerarchitectuur

Examen Geavanceerde Computerarchitectuur Examen Geavanceerde Computerarchitectuur Academiejaar 2007-2008 Maandag 21 januari 2008, 14u00 Prof. dr. ir. L. Eeckhout Naam: Richting: Enkele opmerkingen vooraf: Vul eerst en vooral op ieder blad Uw

Nadere informatie

Waarmaken van Leibniz s droom

Waarmaken van Leibniz s droom Waarmaken van Leibniz s droom Artificiële intelligentie Communicatie & internet Operating system Economie Computatietheorie & Software Efficiënt productieproces Hardware architectuur Electronica: relais

Nadere informatie

From High-Level Language to language of the hardware

From High-Level Language to language of the hardware Overzichtscollege 1 Abstractieniveaus Een computersysteem bestaat uit een hiërarchie van lagen Elke laag heeft een goed gedefinieerde interface naar de bovenliggende en onderliggende lagen Essentieel bij

Nadere informatie

COIL MACHINE Probleemoplossingsgids. Coil Machine Troubleshooting Guide

COIL MACHINE Probleemoplossingsgids. Coil Machine Troubleshooting Guide COIL MACHINE Probleemoplossingsgids Coil Machine Troubleshooting Guide CribMaster. 2013 All rights reserved. Windows is a registered trademark of Microsoft Corporation CribMaster 1955 West Oak Circle Marietta,

Nadere informatie

Computerarchitectuur. H&P Appendix A: Instruction Set Principles

Computerarchitectuur. H&P Appendix A: Instruction Set Principles Computerarchitectuur H&P Appendix A: Instruction Set Principles Kristian Rietveld http://ca.liacs.nl/ Instruction Sets Een processor moet precies worden verteld wat deze moet doen. Dit staat opgeschreven

Nadere informatie

ICT Infrastructuren: Processen en Threads. 18 november 2013 David N. Jansen

ICT Infrastructuren: Processen en Threads. 18 november 2013 David N. Jansen ICT Infrastructuren: Processen en Threads 18 november 2013 David N. Jansen Datum en Ajd van werkcollege na overleg met de aanwezigen: donderdag 8:45 10:30 Leerdoel voor vandaag. Stallings hoofdst 2 4 Hoofddoelen

Nadere informatie

Handleiding VirtualBox

Handleiding VirtualBox Pagina 1 van 18 Handleiding VirtualBox Inhoud 1) Wat is VirtualBox?... 2 2) Installatie... 2 3) Schermopbouw VirtualBox... 6 4) Downloaden van Linux ISO... 7 5) Virtuele Machine aanmaken... 7 6) Besturingssysteem

Nadere informatie

Examen computerarchitectuur

Examen computerarchitectuur Examen computerarchitectuur Vrijdag 6 juni 2008, 14:00 Prof. Koen De Bosschere Naam, Voornaam: Richting: Belangrijk 1. Vergeet niet uw naam en voornaam te vermelden. 2. Schrijf de antwoorden in de daarvoor

Nadere informatie

SERVICE LEVEL AGREEMENT SERVICE LEVEL AGREEMENT ADDENDUM VIRTUALISATIE VERSIE

SERVICE LEVEL AGREEMENT SERVICE LEVEL AGREEMENT ADDENDUM VIRTUALISATIE VERSIE SERVICE LEVEL AGREEMENT SERVICE LEVEL AGREEMENT ADDENDUM VIRTUALISATIE VERSIE 2014-05-28 Inhoudsopgave 1. Definities...3 1.1. Control Panel...3 1.2. CPU...3 1.3. CPU core...3 1.4. Dienst...3 1.5. I/O-operaties...3

Nadere informatie

EECS 452 Lecture 10 Chip Multiprocessors. Instructor: Gokhan Memik EECS Dept., Northwestern University

EECS 452 Lecture 10 Chip Multiprocessors. Instructor: Gokhan Memik EECS Dept., Northwestern University EECS 452 Lecture 10 Chip Multiprocessors Instructor: Gokhan Memik EECS Dept., Northwestern University Chip-Multiprocessors Place multiple, relatively simple cores on a single chip E.g., 32 RISC cores on

Nadere informatie

Multi-core systemen. door Alexander Melchior

Multi-core systemen. door Alexander Melchior Multi-core systemen Multi-cpu & Multi-core Multi cpu & Multi core door Alexander Melchior Toevoeging aan GDP Overdragen Capita Selecta Waarom? Een stukje geschiedenis 2005: Introductie eerste consumenten

Nadere informatie

Snel op weg met Solid Edge ST5

Snel op weg met Solid Edge ST5 Snel op weg met Solid Edge ST5 Dit document helpt u, om na installatie van Solid Edge ST5, snel aan de slag te kunnen met de software. Beschreven staat welke instellingen u kunt aanpassen om een betere

Nadere informatie

AANVALLEN OP WES3 + LEN SPEK & HIDDE WIERINGA

AANVALLEN OP WES3 + LEN SPEK & HIDDE WIERINGA AANVALLEN OP WES3 + LEN SPEK & HIDDE WIERINGA Inleiding De uitdagende opdracht van het vak Algebra & Security luidde als volgt: Vind de sleutel die is gebruikt bij het encrypten van de gegeven plain-cyphertext

Nadere informatie

HP 2000 instellen voor Qmanage

HP 2000 instellen voor Qmanage A : Auke Vleerstraat 6D (vloer 4) I : www.quarantainenet.nl 7521 PG Enschede E : info@quarantainenet.nl T : 053-7503070 B : Rabobank 31.72.86.714 F : 053-7503071 KvK : 08135536 HP 2000 instellen voor Qmanage

Nadere informatie

Arjen Glas Stage: Viaict. Opdracht virtualisatie I44

Arjen Glas Stage: Viaict. Opdracht virtualisatie I44 Arjen Glas Stage: Viaict Opdracht virtualisatie I44 Inhoud Software... 3 Wat wordt er gevirtualiseerd... 4 Hardware... 6 Opslag... 7 Fouttolerantie. High availability, Scalability... 8 Verdere relevante

Nadere informatie

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets) TECHNISCHE UNIVERSITEIT DELFT Faculteit Informatietechnologie en Systemen Afdeling ISA Basiseenheid PGS Proeftentamen in1211 Computersystemen I (Opm: de onderstreepte opgaven zijn geschikt voor de tussentoets)

Nadere informatie

Computerarchitectuur en netwerken. Memory management Assembler programmering

Computerarchitectuur en netwerken. Memory management Assembler programmering Computerarchitectuur en netwerken 2 Memory management Assembler programmering Lennart Herlaar 12 september 2016 Inhoud 1 Protectie: Hoe het O.S. programma s tegen elkaar kan beschermen modes memory management

Nadere informatie

Computerarchitectuur. H&P Appendix A: Instruction Set Principles

Computerarchitectuur. H&P Appendix A: Instruction Set Principles Computerarchitectuur H&P Appendix A: Instruction Set Principles Kristian Rietveld http://ca.liacs.nl/ Instruction Sets Een processor moet precies worden verteld wat deze moet doen. Dit staat opgeschreven

Nadere informatie

RAM optimaliseren: Cacheman

RAM optimaliseren: Cacheman RAM optimaliseren: Cacheman Windows beheert het geheugen op haar eigen manier, zonder dat u daar in principe veel invloed op heeft. Het programma Cacheman van Outertech kan daar verandering in brengen.

Nadere informatie

Introductie. NAV performance. Derk Jan Oelemans. Manager Development, BI en E-Business Qurius Business Solutions

Introductie. NAV performance. Derk Jan Oelemans. Manager Development, BI en E-Business Qurius Business Solutions Introductie Microsoft Global Partner of the Year 2007 NAV performance Manager, BI en E-Business Qurius Business Solutions Performance domeinen Functionele alternatieven Datamodel wijzigingen standaard

Nadere informatie

Onafhankelijke verzamelingen en Gewogen Oplossingen, door Donald E. Knuth, The Art of Computer Programming, Volume 4, Combinatorial Algorithms

Onafhankelijke verzamelingen en Gewogen Oplossingen, door Donald E. Knuth, The Art of Computer Programming, Volume 4, Combinatorial Algorithms Onafhankelijke verzamelingen en Gewogen Oplossingen, door Donald E. Knuth, The Art of Computer Programming, Volume 4, Combinatorial Algorithms Giso Dal (0752975) Pagina s 5 7 1 Deelverzameling Representatie

Nadere informatie

Tentamen Programmeren in C (EE1400)

Tentamen Programmeren in C (EE1400) TU Delft Tentamen Programmeren in C (EE1400) 5 april 2012, 9.00 12.00 Faculteit EWI - Zet op elk antwoordblad je naam en studienummer. - Beantwoord alle vragen zo nauwkeurig mogelijk. - Wanneer C code

Nadere informatie

VAN HET PROGRAMMEREN. Inleiding

VAN HET PROGRAMMEREN. Inleiding OVERZICHT VAN HET PROGRAMMEREN Inleiding Als je leert programmeren lijkt het nogal overweldigend om die eerste stappen te doorworstelen. Er zijn dan ook heel wat programmeertalen (Java, Ruby, Python, Perl,

Nadere informatie

COMIT 25 november 05

COMIT 25 november 05 Onderwerpen Blackboard bij de HG Huidige omgeving Performance audit door Blackboard Beheer in toekomst Hanzehogeschool Groningen Geschiedenis van Bb bij de Hanzehogeschool Begonnen in 2001 Van Novell naar

Nadere informatie

Operating Systems Linux Blok 7a Partities Erik Seldenthuis

Operating Systems Linux Blok 7a Partities Erik Seldenthuis Blok 7a Partities Tijdsduur Doel Benodigdheden Theorie 1 dagdeel omgaan met partities, partitiegegevens afbeelden en wijzigen, gebruik maken van partitie manager, kennis van indeling schijf in partities

Nadere informatie

Inleiding Practicum Operating Systems

Inleiding Practicum Operating Systems Inleiding Practicum Operating Systems Mattias Holm & Kristian Rietveld Doel - In komende 3 practica zullen we gaan werken met een custom OS. - Kort introduceren van: - Hardware. - Kernel. - Tools. - De

Nadere informatie

Variabelen en statements in ActionScript

Variabelen en statements in ActionScript Ontwikkelen van Apps voor ios en Android Variabelen en statements in ActionScript 6.1 Inleiding Als we het in de informatica over variabelen hebben, bedoelen we een stukje in het geheugen van de computer

Nadere informatie

KPN PKIoverheid Handleiding installatie smartcards, kaartlezer en certificaten

KPN PKIoverheid Handleiding installatie smartcards, kaartlezer en certificaten KPN PKIoverheid Handleiding installatie smartcards, kaartlezer en certificaten Openbaar van 1 9 Inhoudsopgave 1 Inleiding 3 1.1 Minimale systeemeisen 3 2 Fase 1: Installatie en aansluiting smartcard lezer

Nadere informatie