Inhoudsopgave Gevorderden vorderen het gevorderde

Maat: px
Weergave met pagina beginnen:

Download "Inhoudsopgave Gevorderden vorderen het gevorderde"

Transcriptie

1 Inhoudsopgave 1 Gevorderden vorderen het gevorderde Zo, nu eerst Bronnen Veel haren Zelf zaken doen Commando s Omgevingen Veel code Moreverb Listings Ruimte in lijsten Presentaties Einde

2 INHOUDSOPGAVE Jasper Diephuis INHOUDSOPGAVE 2 2

3 Hoofdstuk 1 Gevorderden vorderen het gevorderde 1.1 Zo, nu eerst... Een ander lettertype. Maak hiervoor van het onderstaande gebruik. Voor de goede orde, LATEX kent dus vier stijlen van lettertypes, namelijk met schreef (rm), schreefloos (ss), typmachine (tt) en wiskundig (math). Let trouwens even goed op de lettergrootte... \usepackage{mathpazo} % math & rm \linespread{1.065} % Palatino needs more leading (space between lines) \usepackage[scaled]{helvet} % ss \usepackage{courier} % tt \normalfont \usepackage[t1]{fontenc} 1.2 Bronnen Zoals je waarschijnlijk wel weet is het handig om bronnen te vermelden [1]. Natuurlijk heb je ook andere bronnen, zoals spanningsbronnen, maar dat doet nu even niet terzake Veel haren Trouwens, die zojuistgenoemde bron is een boek van Piether Swaerbehaerd, iemand die gek is van BibTeX. Hij schreef dan ook het boek Refereren kun je leren, uitgebracht in 2008 door De Vliegende Hollander. Een ander interessante bron is een artikel van Aengefickt [2]. De schrijver, Jean Aengefickt, bedacht in 1877 een methode om iemand sneller te kielhalen. Dit publiceerde hij als De kiel is niet meer de achilleshiel in 1878 in het journaal voor de scheepsbouw Scheepen en booten, volume 5, nummer 6 op de paginašs 5 t/m Zelf zaken doen Commando s Definieer nu een commando waarbij je kunt meegeven hoe je naam is en welke koffie je het liefst drinkt. Het resultaat moet in de volgende subsubsection komen. 3

4 1.4. VEEL CODE HOOFDSTUK 1. Jasper GEVORDERDEN Diephuis VORDEREN HET GEVORDERDE Resultaat Jasper drinkt het liefst water uit de kraan Omgevingen Definieer nu een omgeving waarbij je kunt meegeven hoe je naam is en waaruit je het liefste koffie drinkt. Het resultaat moet in de volgende subsubsection komen. Resultaat Het volgende kwam de verslaggever van het blad De Koffie is de Leut ter ore: Jasper drinkt het liefst helemaal geen koffie, aldus het verhaal van de razende reporter. 1.4 Veel code Dat ga je dus inderdaad niet inkloppen, maar je gebruikt de functionaliteit van LATEX. Het gaat hier trouwens om een VHDL-file van het vak System-on-Chip Design (121075). Hiervoor kun je trouwens naast de package moreverb ook de package listings gebruiken. Uiteindelijk komt ook de persoonlijke smaak om de hoek kijken Moreverb File: siso8_gcd_arch.vhd -- Description: Architecture for siso8, computing greatest common divider -- Author: Sabih Gerez, University of Twente -- Creation date: $Log: siso8_gcd_arch.vhd,v $ -- Revision /07/10 00:05:25 sabih -- initial check in this architecture needs arithmetic functions library ieee; use ieee.numeric_std.all; architecture gcd of siso8 is -- registers signal num1, num2: unsigned(7 downto 0); signal odd, req_i: std_logic; -- wires signal num1_next, num2_next: unsigned(7 downto 0); signal odd_next, req_i_next, ready_next: std_logic; -- the next process is sequential and only sensitive to clk and reset seq: process(clk, reset) 1 Als je bij listings specificeert dat het om VHDL gaat, dan

5 HOOFDSTUK 1. GEVORDERDEN VORDEREN 8 maart 2010 HET GEVORDERDE 1.4. VEEL CODE if (reset = 1 ) num1 <= (others => 0 ); num2 <= (others => 0 ); odd <= 0 ; req_i <= 1 ; -- the system is ready to receive data after reset ready <= 0 ; elsif rising_edge(clk) if ((req_i = 1 ) and (odd = 0 )) num1 <= unsigned(data_in); odd <= 1 ; ready <= 0 ; elsif ((req_i = 1 ) and (odd = 1 )) num2 <= unsigned(data_in); odd <= 0 ; req_i <= 0 ; ready <= 0 ; else num1 <= num1_next; num2 <= num2_next; req_i <= req_i_next; ready <= ready_next; end if; -- ((req_i = 1 ) and (odd = 0 )) end if; -- (reset = 1 ) end process seq; -- combinational process next_val: process(num1, num2) if (num1 > num2) num1_next <= num1 - num2; num2_next <= num2; ready_next <= 0 ; req_i_next <= 0 ; elsif (num1 < num2) num1_next <= num1; num2_next <= num2 - num1; ready_next <= 0 ; req_i_next <= 0 ; else num1_next <= num1; num2_next <= num2; ready_next <= 1 ; req_i_next <= 1 ; end if; end process next_val; 5 5

6 1.4. VEEL CODE HOOFDSTUK 1. Jasper GEVORDERDEN Diephuis VORDEREN HET GEVORDERDE -- output register can be any of num1 or num2 data_out <= std_logic_vector(num1); -- req wires to req_i req <= req_i; end gcd; Listings F i l e : s i s o 8 _ g c d _ a r c h. vhd D e s c r i p t i o n : A r c h i t e c t u r e f o r s i s o 8, computing g r e a t e s t common d i v i d e r Author : S a b i h Gerez, U n i v e r s i t y o f Twente C r e a t i o n d a t e : $Log : s i s o 8 _ g c d _ a r c h. vhd, v $ R e v i s i o n / 0 7 / : 0 5 : 2 5 s a b i h i n i t i a l c h e c k in t h i s a r c h i t e c t u r e n e e d s a r i t h m e t i c f u n c t i o n s l i b r a r y i e e e ; use i e e e. numeric_std. a l l ; a r c h i t e c t u r e gcd of s i s o 8 i s r e g i s t e r s signal num1, num2 : unsigned (7 downto 0 ) ; signal odd, r e q _ i : s t d _ l o g i c ; w i r e s signal num1_next, num2_next : unsigned (7 downto 0 ) ; signal odd_next, req_i_next, ready_next : s t d _ l o g i c ; t h e next p r o c e s s i s s e q u e n t i a l and only s e n s i t i v e t o c l k and r e s e t seq : process ( clk, r e s e t ) i f ( r e s e t = 1 ) num1 <= ( others => 0 ) ; num2 <= ( others => 0 ) ; odd <= 0 ; r e q _ i <= 1 ; t h e system i s r e a d y t o r e c e i v e d a t a a f t e r r e s e t ready <= 0 ; e l s i f rising_edge ( c l k ) i f ( ( r e q _ i = 1 ) and ( odd = 0 ) ) num1 <= unsigned ( data_in ) ; odd <= 1 ; ready <= 0 ; e l s i f ( ( r e q _ i = 1 ) and ( odd = 1 ) ) 6 6

7 HOOFDSTUK 1. GEVORDERDEN VORDEREN 8 maart 2010 HET GEVORDERDE 1.4. VEEL CODE num2 <= unsigned ( data_in ) ; odd <= 0 ; r e q _ i <= 0 ; ready <= 0 ; e lse num1 <= num1_next ; num2 <= num2_next ; r e q _ i <= req_i_next ; ready <= ready_ next ; end i f ; ( ( r e q _ i = 1 ) and ( odd = 0 ) ) end i f ; ( r e s e t = 1 ) end process seq ; c o m b i n a t i o n a l p r o c e s s next_val : process (num1, num2) i f (num1 > num2) num1_next <= num1 num2 ; num2_next <= num2 ; ready_next <= 0 ; r eq_i_next <= 0 ; e l s i f (num1 < num2) num1_next <= num1 ; num2_next <= num2 num1 ; ready_next <= 0 ; r eq_i_next <= 0 ; e lse num1_next <= num1 ; num2_next <= num2 ; ready_next <= 1 ; r eq_i_next <= 1 ; end i f ; end process next_val ; o u t p u t r e g i s t e r can be any o f num1 or num2 data_out <= s t d _ l o g i c _ v e c t o r (num1 ) ; r e q w i r e s t o r e q _ i req <= r e q _ i ; end gcd ; 7 7

8 1.5. RUIMTE IN LIJSTEN HOOFDSTUK 1. Jasper GEVORDERDEN Diephuis VORDEREN HET GEVORDERDE 1.5 Ruimte in lijsten Dat zul je inmiddels wel vervelend vinden, die witruimtes in een opsomming of nummering. Gebruik dan de package enumitem. Zoek uit hoe je deze gebruikt en zorg dat je onderstaand te voorschijn tovert. 1. Een aantal mooie functies: (a) Preases (b) Ab Actis (c) Quaestor (d) Assessor (e) Presentaties Zoals je misschien wel is opgevallen kun je met LATEX ook prima presentaties maken! Hiervoor heb je de package beamer nodig. Behandelen doen we dit niet, maar lees even volgend stukje *.tex en je ziet dat het relatief simpel werkt. \subsection{voor- en nadelen} \{frame} \frametitle{voor- en nadelen} \{block}{voordelen:} \{itemize} \item De layout, lettertypes, tabellen en dergelijke zijn zeer consistent. \item Eenvoudig omgaan met wiskundige formules. \item Indices, voetnoten and referenties zijn makkelijk te genereren. \item Je wordt gedwongen gestructureerd te werken. \end{itemize} \end{block} \{block}{nadelen:} \{itemize} \item Je ziet het eindresultaat niet direct (dus g\še\šen WYSIWYG). \item Je moet diverse commandošs kennen voor het invoeren van de makrošs. \item Het is soms moeilijk een zogenaamde ŚlookŠ te krijgen. \end{itemize} \end{block} \end{frame} 1.7 Einde Dit was het dan al weer. Hopelijk heb je weer wat geleerd en mocht je meer willen weten, ga op ontdekkingstocht in de wondere wereld der LATEX. 8 8

9 Bibliografie [1] Piether Swaerbehaerd. Refereren kun je leren. De Vliegende Hollander, [2] Jean Aengefickt. De kiel is niet meer de achilleshiel. Scheepen en booten, 5(6):5 13,

10 Index bronnen, 5 spanning, 5 lijst nummering, 10 opsomming, 10 presentaties, 10 10

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

L A TEX-cursus 4 e sessie: verdieping

L A TEX-cursus 4 e sessie: verdieping L A TEX-cursus 4 e sessie: verdieping TEXniCie Aldo Witte en Rik van Rooij 1 december 2014 Inhoudsopgave 1 Vorige Week 2 Plaatjes mooier 3 Externe packages 4 De Beamer class 5 A-Eskwadraat packages 6 Volgende

Nadere informatie

Project Digitale Systemen

Project Digitale Systemen Project Digitale Systemen Case Study The Double Dabble algorithme Jesse op den Brouw PRODIG/2014-2015 Introductie Double Dabble In de digitale techniek wordt veel met decimale getallen gewerkt, simpelweg

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

LATEX Cursus voor beginners

LATEX Cursus voor beginners L A TEX Cursus voor beginners Een introductie in L A TEX E.T.S.V. Scintilla Woensdag 20/27 februari 2008 Overzicht 1 2 3 4 5 6 TEX en L A TEX TEX en LATEX Voor- en nadelen Voorkennis Benodigdheden Overzicht

Nadere informatie

Samenvatting L A TEX-cursus

Samenvatting L A TEX-cursus Samenvatting L A TEX-cursus Sjoerd Timmer TEXniCie 10 maart 2009 Inhoud 1 Week 1 2 Week 2 3 Week 3 4 Vragen? 5 Toets Waarom L A TEX Voordelen professionele layout handig voor wiskundige formules je kunt

Nadere informatie

LATEX Cursus voor gevorderden

LATEX Cursus voor gevorderden L A TEX Cursus voor gevorderden Meer doen met L A TEX E.T.S.V. Scintilla Woensdag 12 maart 2008 Overzicht 1 2 3 4 5 6 7 Fancyhdr Fancyhdr Met de package fancyhdr kun je ontzettend veel wat betreft headers

Nadere informatie

L A TEX-workshop (Handleiding)

L A TEX-workshop (Handleiding) L A TEX-workshop (Handleiding) TEXniCie 10 november 2014 Inhoudsopgave 1 Inleiding 2 2 L A TEX 2 2.1 Voordelen........................................ 2 2.2 Nadelen.........................................

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

L A TEX-cursus week 6 (Handleiding)

L A TEX-cursus week 6 (Handleiding) L A TEX-cursus week 6 (Handleiding) TEXniCie Commissie van A Eskwadraat 15 december 2014 Inhoudsopgave 1 Eigen commando s 2 2 Eigen environments 2 3 Captions 2 4 Paginamarges: geometry 3 5 Nog meer lengtes:

Nadere informatie

L A TEX voor beginners

L A TEX voor beginners L A TEX voor beginners Yfke Dulek & Ruurdje Procee voorjaar 2013 Waarom L A TEX gebruiken? Makkelijk formules toevoegen Je krijgt wat je tikt zonder rare shit Je kunt de lay-out gemakkelijk in één keer

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 2 Delay, Sequential VHDL, hiërarchie, generics Jesse op den Brouw DIGSE1/2017-2018 VHDL delay models Het beschrijven van vertragingen en minimale pulsbreedte wordt gedaan

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Digitale Systemen (EE1 410)

Digitale Systemen (EE1 410) Digitale Systemen (EE1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 26-4-2011 ET1 410 (Stephan Wong) Pagina 1 Samenvatting 1 ste college Wat is VHDL? Waarvoor

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

2019 SUNEXCHANGE USER GUIDE LAST UPDATED

2019 SUNEXCHANGE USER GUIDE LAST UPDATED 2019 SUNEXCHANGE USER GUIDE LAST UPDATED 0 - -19 1 WELCOME TO SUNEX DISTRIBUTOR PORTAL This user manual will cover all the screens and functions of our site. MAIN SCREEN: Welcome message. 2 LOGIN SCREEN:

Nadere informatie

Pure introductie voor facultaire coördinatoren

Pure introductie voor facultaire coördinatoren 1 Pure introductie voor facultaire coördinatoren Pure team UB Augustus 2018 2 Inhoudsopgave Wat is Pure? Vijf manieren om research output in te voeren Deposit your article Proces/workflow research output

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 4 Datapadsystemen Jesse op den Brouw DIGSE2/2016-2017 Complexe systemen In principe kan elk sequentiëel systeem beschreven worden met een toestandsdiagram. In de praktijk

Nadere informatie

Inleiding Latex als wiskundige tekstverwerker

Inleiding Latex als wiskundige tekstverwerker Inleiding Latex als wiskundige tekstverwerker C.A.J. Hurkens 5 december 2002 Samenvatting In dit stukje zien we in vogelvlucht de meest in het oog springende mogelijkheden die het pakket L A TEX biedt

Nadere informatie

L A TEX-workshop (Handleiding)

L A TEX-workshop (Handleiding) L A TEX-workshop (Handleiding) A Eskwadraat 26 november 2013 Inhoudsopgave 1 Inleiding 2 2 L A TEX 2 2.1 Voordelen........................................ 2 2.2 Nadelen.........................................

Nadere informatie

clk_32768 mins_up secs_up countdown clear time_zero

clk_32768 mins_up secs_up countdown clear time_zero Opdracht week 3 en 4 kookwekker Inleiding Het koken van een eitje lukt de meeste mensen nog. Toch zijn er wel mensen die dat niet zonder een kookwekker kunnen, met als gevolg een hard gekookt ei (of juiste

Nadere informatie

L A TEX-cursus 3 e sessie: Plaatjes, figuren en referenties in L A TEX

L A TEX-cursus 3 e sessie: Plaatjes, figuren en referenties in L A TEX L A TEX-cursus 3 e sessie: Plaatjes, figuren en referenties in L A TEX TEXniCie A Eskwadraat 24 november 2014 Vorige week Vorige week hebben jullie het volgende geleerd: Wiskundige symbolen in L A TEX

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw

Opgaven. en uitwerkingen bij het boek Digitale Techniek. Jesse op den Brouw Opgaven en uitwerkingen bij het boek Digitale Techniek Jesse op den Brouw 2017 Jesse op den Brouw, Den Haag Versie: 0.99pl8 Datum: 6 mei 2017 Opgaven van Jesse op den Brouw is in licentie gegeven volgens

Nadere informatie

L A TEX-workshop (Handleiding)

L A TEX-workshop (Handleiding) L A TEX-workshop (Handleiding) De Leidsche Flesch 6 maart 2014 Inhoudsopgave 1 Inleiding 2 2 L A TEX 2 2.1 Advantages....................................... 2 2.2 Disadvantages......................................

Nadere informatie

Javascript oefenblad 1

Javascript oefenblad 1 Leer de basis van Javascript. Javascript oefenblad 1 Niels van Velzen Javascript oefenblad 1 Pagina 2 Inleiding Javascript is niet altijd even makkelijk. Vooral aan het begin is het even wennen hoe de

Nadere informatie

L A TEX ESA 2007/2008. Eelco Schatborn 6 september 2007

L A TEX ESA 2007/2008. Eelco Schatborn 6 september 2007 L A TEX ESA 2007/2008 Eelco Schatborn eelco@os3.nl 6 september 2007 ESA: L A TEX Vandaag: 1. Intro & Hallo Wereld 2. Document class, opties, packages 3. Secties, lijsten, en nog het een en ander 4. Documentatie

Nadere informatie

L A TEX cursus sessie 5: Classes & packages

L A TEX cursus sessie 5: Classes & packages L A TEX cursus sessie 5: Classes & packages TEXniCie Rob Franken 27 april 2010 Overzicht 1 Introductie 2 Classes 3 Packages 4 Lettertypes Wat zijn classes en packages Classes bevatten standaarddefinities

Nadere informatie

L A TEX-cursus 5 e sessie: scriptie in L A TEX

L A TEX-cursus 5 e sessie: scriptie in L A TEX L A TEX-cursus 5 e sessie: scriptie in L A TEX TEXniCie A Eskwadraat 17 november 2014 Vorige week Vorige week hebben jullie het volgende geleerd: Vectorplaatjes importeren Presentaties maken met beamer

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 3 Synthese, simuatie, testbenches, rekenen in VHDL Jesse op den Brouw DIGSE1/2018-2019 Synthese Synthese is het proces van het automatisch genereren van hardware uit

Nadere informatie

Ontwerpmethoden. Doelstelling

Ontwerpmethoden. Doelstelling 6 Ontwerpmethoden Doelstelling Onderwerpen In dit hoofdstuk maak je kennis met een aantal ontwerpmethodieken en leer je werken met behulp van de methode met een gescheiden dataverwerking en besturing.

Nadere informatie

ALGORITMIEK: answers exercise class 7

ALGORITMIEK: answers exercise class 7 Problem 1. See slides 2 4 of lecture 8. Problem 2. See slides 4 6 of lecture 8. ALGORITMIEK: answers exercise class 7 Problem 5. a. Als we twee negatieve (< 0) getallen bij elkaar optellen is het antwoord

Nadere informatie

L A TEX-cursus week 5 (Handleiding)

L A TEX-cursus week 5 (Handleiding) L A TEX-cursus week 5 (Handleiding) TEXniCie Commissie van A Eskwadraat 8 december 2014 Inhoudsopgave 1 Inleiding 2 2 Document classes 2 2.1 Report.......................................... 2 2.2 Book...........................................

Nadere informatie

PIR DC-SWITCH. DC Passive infra-red Detector. Model No. PDS-10 GEBRUIKSAANWIJZING/INSTRUCTION MANUAL

PIR DC-SWITCH. DC Passive infra-red Detector. Model No. PDS-10 GEBRUIKSAANWIJZING/INSTRUCTION MANUAL PIR DC-SWITCH DC Passive infra-red Detector Model No. PDS-10 GEBRUIKSAANWIJZING/INSTRUCTION MANUAL Please read this manual before operating your DETECTOR PIR DC-Switch (PDS-10) De PDS-10 is een beweging

Nadere informatie

Programmeren. a. 0, 0, 0 b. 0, 0, 27 c. 15, 12, 0 d. 15, 12, 27

Programmeren. a. 0, 0, 0 b. 0, 0, 27 c. 15, 12, 0 d. 15, 12, 27 Programmeren 0. (1 punt.) Stel, een "afhankelijk kind" is een persoon is die jonger is dan 18 jaar, en hooguit 8.000 euro verdient. Welke van de onderstaande expressies definieert een afhankelijk kind?

Nadere informatie

De plug-in is heel eenvoudig te installeren met een setup-programma. Waarna je een aantal menu opties in het tools menu er bij krijgt.

De plug-in is heel eenvoudig te installeren met een setup-programma. Waarna je een aantal menu opties in het tools menu er bij krijgt. Plsqldoc Genereer je documentatie Beeklaan 444 2562 BK Den Haag www.darwin-it.nl info@darwin-it.nl KvK 27283780 ING 65.35.40.663 Technical Architect Net als (vrijwel) elke ontwikkelaar vind ik het documenteren

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

RECEPTEERKUNDE: PRODUCTZORG EN BEREIDING VAN GENEESMIDDELEN (DUTCH EDITION) FROM BOHN STAFLEU VAN LOGHUM

RECEPTEERKUNDE: PRODUCTZORG EN BEREIDING VAN GENEESMIDDELEN (DUTCH EDITION) FROM BOHN STAFLEU VAN LOGHUM Read Online and Download Ebook RECEPTEERKUNDE: PRODUCTZORG EN BEREIDING VAN GENEESMIDDELEN (DUTCH EDITION) FROM BOHN STAFLEU VAN LOGHUM DOWNLOAD EBOOK : RECEPTEERKUNDE: PRODUCTZORG EN BEREIDING VAN STAFLEU

Nadere informatie

Een introductie van L A TEX

Een introductie van L A TEX Een introductie van L A TEX Peter de Waal met materiaal van Lennart Herlaar Department of Information and Computing Sciences Overdragen van de Informatica L A TEX workshop Peter de Waal (ICS) OIA LATEX

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Lijnvolgers: van basis tot proportioneel

Lijnvolgers: van basis tot proportioneel 1 GEAVANCEERDE EV3 PROGRAMMEERLES Lijnvolgers: van basis tot proportioneel Door Droids Robotics 2 leerdoelen 1. Eigenschappen van verschillende lijnvolgers 2. Leer met het concept evenredig een proportionele

Nadere informatie

Inleiding tot LaTeX. Peter Dedecker 30 november 2006

Inleiding tot LaTeX. Peter Dedecker 30 november 2006 Inleiding tot LaTeX Peter Dedecker 30 november 2006 Inhoud Wat is LaTeX? Waarom LaTeX? LaTeX op mijn computer Aan de slag Inleiding tot LaTeX Peter Dedecker 30 november 2006 p. 2 Wat is LaTeX? Waarom LaTeX?

Nadere informatie

Tutorial. Quartus II. State machine editor. State machine wizard

Tutorial. Quartus II. State machine editor. State machine wizard Tutorial Quartus II State machine editor & State machine wizard 29 april 2014 Pieter van der Star Tutorial state machine file in Quartus 13.0 29 april 2014 Inhoudsopgave State machine editor ------------------------------------------------------------------------------------------------------2

Nadere informatie

L A TEX-workshop (Handleiding)

L A TEX-workshop (Handleiding) L A TEX-workshop (Handleiding) De Leidsche Flesch 9 september 2014 Inhoudsopgave 1 Inleiding 2 2 L A TEX 2 2.1 Advantages....................................... 2 2.2 Disadvantages......................................

Nadere informatie

Aansturing van een stappenmotor

Aansturing van een stappenmotor Cursus VHDL deel 2: Aansturing van een stappenmotor Jan Genoe In dit uitgewerkt voorbeeld schetsen we de werkwijze die moet gevolgd worden om uitgaande van een probleemstelling tot een concrete en werkende

Nadere informatie

ATOS Viewer for Dental Frameworks User Manual

ATOS Viewer for Dental Frameworks User Manual ATOS Viewer for Dental Frameworks User Manual www.dentwise.eu Inhoud Content NEDERLANDS... 2 1. Installatie... 2 2. Algemene Functies... 2 3. Afstanden Meten... 3 4. Doorsneden Maken... 4 5. Weergave Aanpassen...

Nadere informatie

ACM Student Chapter Pieter Belmans L A TEX

ACM Student Chapter Pieter Belmans L A TEX ACM Student Chapter Pieter Belmans L A TEX De les van vandaag Na deze les weet je in grote lijnen hoe L A TEX werkt en kan je al een volwaardige basistekst schrijven. Overzicht Inleiding Software Wat u

Nadere informatie

Jeroen uit. Extra informatie. Voor de lunch. Arduino zo aangesloten om mijn code te laten werken. (versie voor lunch) (code voor de lunch)

Jeroen uit. Extra informatie. Voor de lunch. Arduino zo aangesloten om mijn code te laten werken. (versie voor lunch) (code voor de lunch) We zijn weer aan dag drie al beland vandaag weer een dag CLE aangezien ik zag dat ik het vorige blog was vergeten CLE staat voor Contextiual Learning Enviroment ( weet je dat ook weer ) Maar ja zoals ik

Nadere informatie

Bijlage 2: Informatie met betrekking tot goede praktijkvoorbeelden in Londen, het Verenigd Koninkrijk en Queensland

Bijlage 2: Informatie met betrekking tot goede praktijkvoorbeelden in Londen, het Verenigd Koninkrijk en Queensland Bijlage 2: Informatie met betrekking tot goede praktijkvoorbeelden in Londen, het Verenigd Koninkrijk en Queensland 1. Londen In Londen kunnen gebruikers van een scootmobiel contact opnemen met een dienst

Nadere informatie

Linked Open Data en EDM. Jacco van Ossenbruggen Centrum Wiskunde & Informatica (CWI) Vrije Universiteit Amsterdam

Linked Open Data en EDM. Jacco van Ossenbruggen Centrum Wiskunde & Informatica (CWI) Vrije Universiteit Amsterdam Linked Open Data en EDM Jacco van Ossenbruggen Centrum Wiskunde & Informatica (CWI) Vrije Universiteit Amsterdam Cultureel erfgoed & informatica Projecten Token2000 (1999) MultimediaN, CATCH (2004) Europeana

Nadere informatie

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1

Today s class. Digital Logic. Informationsteknologi. Friday, October 19, 2007 Computer Architecture I - Class 8 1 Today s class Digital Logic Friday, October 19, 2007 Computer Architecture I - Class 8 1 Digital circuits Two logical values Binary 0 (signal between 0 and 1 volt) Binary 1 (signal between 2 and 5 volts)

Nadere informatie

CBSOData Documentation

CBSOData Documentation CBSOData Documentation Release 1.0 Jonathan de Bruin Dec 02, 2018 Contents 1 Statistics Netherlands opendata API client for Python 3 1.1 Installation................................................ 3

Nadere informatie

UvA-DARE (Digital Academic Repository) Inquiry-based leading and learning Uiterwijk-Luijk, E. Link to publication

UvA-DARE (Digital Academic Repository) Inquiry-based leading and learning Uiterwijk-Luijk, E. Link to publication UvA-DARE (Digital Academic Repository) Inquiry-based leading and learning Uiterwijk-Luijk, E. Link to publication Citation for published version (APA): Luijk, E. (2017). Inquiry-based leading and learning:

Nadere informatie

Lab6: Implementatie video timing generator

Lab6: Implementatie video timing generator Het Micro-elektronica Trainings- Centrum Het MTC is een initiatief binnen de INVOMEC divisie. Industrialisatie & Vorming in Micro-elektronica Inleiding In de vorige modules werd een systeem opgebouwd en

Nadere informatie

Algoritmen abstract bezien

Algoritmen abstract bezien Algoritmen abstract bezien Jaap van Oosten Department Wiskunde, Universiteit Utrecht Gastcollege bij Programmeren in de Wiskunde, 6 april 2017 Een algoritme is een rekenvoorschrift dat op elk moment van

Nadere informatie

Leeftijdcheck (NL) Age Check (EN)

Leeftijdcheck (NL) Age Check (EN) Leeftijdcheck (NL) Age Check (EN) [Type text] NL: Verkoopt u producten die niet aan jonge bezoekers verkocht mogen worden of heeft uw webwinkel andere (wettige) toelatingscriteria? De Webshophelpers.nl

Nadere informatie

Data Driven: Show & tell

Data Driven: Show & tell Data Driven: Show & tell Agenda 13.10-13.30 Zonder besluiten geen business - Marijn Uilenbroek 13.30-14.00 Architectuur van Analytics - Marcel Westra 14.00-14.30 Hands on use case Analytics Robin Huurman

Nadere informatie

FOD VOLKSGEZONDHEID, VEILIGHEID VAN DE VOEDSELKETEN EN LEEFMILIEU 25/2/2016. Biocide CLOSED CIRCUIT

FOD VOLKSGEZONDHEID, VEILIGHEID VAN DE VOEDSELKETEN EN LEEFMILIEU 25/2/2016. Biocide CLOSED CIRCUIT 1 25/2/2016 Biocide CLOSED CIRCUIT 2 Regulatory background and scope Biocidal products regulation (EU) nr. 528/2012 (BPR), art. 19 (4): A biocidal product shall not be authorised for making available on

Nadere informatie

MyDHL+ Global Mail zending aanmaken

MyDHL+ Global Mail zending aanmaken MyDHL+ Global Mail zending aanmaken Global Mail zending aanmaken In MyDHL+ is het aanmaken van een Global Mail zending zo eenvoudig mogelijk gemaakt. De website en deze handleiding zal u stap voor stap

Nadere informatie

Overzicht Code Run time-structuur De Robot Bots Het ontwerp van een Bot. De Robot. Robotspeelmiddag. Sjoerd Dost, Rick Sen.

Overzicht Code Run time-structuur De Robot Bots Het ontwerp van een Bot. De Robot. Robotspeelmiddag. Sjoerd Dost, Rick Sen. Robotspeelmiddag Sjoerd Dost, Rick Sen 20 december 2011 Inhoud Overzicht Code 1 Overzicht Code Codestructuur 2 3 Geschiedenis 4 Bot-interface Echobot Snorbot 5 Minimaal Optimaal Aan de slag! Wat hebben

Nadere informatie

Uitleg van de Hough transformatie

Uitleg van de Hough transformatie Uitleg van de Hough transformatie Maarten M. Fokkinga, Joeri van Ruth Database groep, Fac. EWI, Universiteit Twente Versie van 17 mei 2005, 10:59 De Hough transformatie is een wiskundige techniek om een

Nadere informatie

Gödels theorem An Incomplete Guide to Its Use and Abuse, Hoofdstuk 3

Gödels theorem An Incomplete Guide to Its Use and Abuse, Hoofdstuk 3 Gödels theorem An Incomplete Guide to Its Use and Abuse, Hoofdstuk 3 Koen Rutten, Aris van Dijk 30 mei 2007 Inhoudsopgave 1 Verzamelingen 2 1.1 Definitie................................ 2 1.2 Eigenschappen............................

Nadere informatie

Settings for the C100BRS4 MAC Address Spoofing with cable Internet.

Settings for the C100BRS4 MAC Address Spoofing with cable Internet. Settings for the C100BRS4 MAC Address Spoofing with cable Internet. General: Please use the latest firmware for the router. The firmware is available on http://www.conceptronic.net! Use Firmware version

Nadere informatie

Add the standing fingers to get the tens and multiply the closed fingers to get the units.

Add the standing fingers to get the tens and multiply the closed fingers to get the units. Digit work Here's a useful system of finger reckoning from the Middle Ages. To multiply $6 \times 9$, hold up one finger to represent the difference between the five fingers on that hand and the first

Nadere informatie

LONDEN MET 21 GEVARIEERDE STADSWANDELINGEN 480 PAGINAS WAARDEVOLE INFORMATIE RUIM 300 FOTOS KAARTEN EN PLATTEGRONDEN

LONDEN MET 21 GEVARIEERDE STADSWANDELINGEN 480 PAGINAS WAARDEVOLE INFORMATIE RUIM 300 FOTOS KAARTEN EN PLATTEGRONDEN LONDEN MET 21 GEVARIEERDE STADSWANDELINGEN 480 PAGINAS WAARDEVOLE INFORMATIE RUIM 300 FOTOS KAARTEN EN PLATTEGRONDEN LM2GS4PWIR3FKEP-58-WWET11-PDF File Size 6,444 KB 117 Pages 27 Aug, 2016 TABLE OF CONTENT

Nadere informatie

Handleiding Woonz.nl iframe

Handleiding Woonz.nl iframe Handleiding Woonz.nl iframe Woonz.nl biedt de mogelijkheid om uw actuele woningaanbod op Woonz.nl op uw website te tonen. Hiervoor dient u eerst een API-key te ontvangen van Woonz.nl, dit is een sleutel

Nadere informatie

Trouwen over de grens. Achtergronden van partnerkeuze van Turken en Marokkanen in Nederland Hooghiemstra, B.T.J.

Trouwen over de grens. Achtergronden van partnerkeuze van Turken en Marokkanen in Nederland Hooghiemstra, B.T.J. UvA-DARE (Digital Academic Repository) Trouwen over de grens. Achtergronden van partnerkeuze van en in Nederland Hooghiemstra, B.T.J. Link to publication Citation for published version (APA): Hooghiemstra,

Nadere informatie

Published in: Onderwijs Research Dagen 2013 (ORD2013), mei 2013, Brussel, Belgie

Published in: Onderwijs Research Dagen 2013 (ORD2013), mei 2013, Brussel, Belgie Samenwerkend leren van leerkrachten : leeropbrengsten gerelateerd aan activiteiten en foci van samenwerking Doppenberg, J.J.; den Brok, P.J.; Bakx, A.W.E.A. Published in: Onderwijs Research Dagen 2013

Nadere informatie

Developing an adaptive, diagnostic test of. English writing skills

Developing an adaptive, diagnostic test of. English writing skills Developing an adaptive, diagnostic test of English writing skills Development of the DET Objectives Consultation IT Student model Consultation External committee Research Student models Psychometric Automatic

Nadere informatie

Biodiversity responses to climate and land-use change: A historical perspective Aguirre Gutierrez, J.

Biodiversity responses to climate and land-use change: A historical perspective Aguirre Gutierrez, J. UvA-DARE (Digital Academic Repository) Biodiversity responses to climate and land-use change: A historical perspective Aguirre Gutierrez, J. Link to publication Citation for published version (APA): Aguirre

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

Relationele Databases 2002/2003

Relationele Databases 2002/2003 1 Relationele Databases 2002/2003 Hoorcollege 3 24 april 2003 Jaap Kamps & Maarten de Rijke April Juli 2003 Plan voor Vandaag Praktische dingen 2.1, 2.3, 2.6 (alleen voor 2.2 en 2.3), 2.9, 2.10, 2.11,

Nadere informatie

Tutorial. Quartus II. State machine editor. State machine wizard

Tutorial. Quartus II. State machine editor. State machine wizard Tutorial Quartus II State machine editor & State machine wizard 29 april 2014 Pieter van der Star Inhoudsopgave State machine editor ------------------------------------------------------------------------------------------------------2

Nadere informatie

Interface tussen Stuurbediening en Sony autoaudio

Interface tussen Stuurbediening en Sony autoaudio The information in this document is in Dutch, English version follows later in this document Interface tussen Stuurbediening en Sony autoaudio LET OP! HOEWEL DE UITERSTE ZORGVULDIGHEID IS BETRACHT BIJ

Nadere informatie

Bedrijfsovername en milieurecht : een onderzoek naar juridische aspecten van bedrijfsovername en milieu Mellenbergh, R.

Bedrijfsovername en milieurecht : een onderzoek naar juridische aspecten van bedrijfsovername en milieu Mellenbergh, R. UvA-DARE (Digital Academic Repository) Bedrijfsovername en milieurecht : een onderzoek naar juridische aspecten van bedrijfsovername en milieu Mellenbergh, R. Link to publication Citation for published

Nadere informatie

Four-card problem. Input

Four-card problem. Input Four-card problem The four-card problem (also known as the Wason selection task) is a logic puzzle devised by Peter Cathcart Wason in 1966. It is one of the most famous tasks in the study of deductive

Nadere informatie

Genetic code. Assignment

Genetic code. Assignment Genetic code The genetic code consists of a number of lines that determine how living cells translate the information coded in genetic material (DNA or RNA sequences) to proteins (amino acid sequences).

Nadere informatie

Uitwerkingen tentamen 8C080 - april 2011

Uitwerkingen tentamen 8C080 - april 2011 Uitwerkingen tentamen 8C8 - april 211 Opgave 1. Mutual information Gegeven zijn twee 3D datasets van dezelfde patient, nl. een CT scan en een MRI scan van het hoofd. Grid im1 RandomInteger 1, 4, 5, 5,

Nadere informatie

Matlab introductie. Kees Vuik

Matlab introductie. Kees Vuik Matlab introductie Kees Vuik 2014 Delft University of Technology Faculty of Electrical Engineering, Mathematics and Computer Science Delft Institute of Applied Mathematics Copyright 2014 by Delft Institute

Nadere informatie

3-2013 GSM250 GSM OPENER PROGRAMMATIE HANDLEIDING

3-2013 GSM250 GSM OPENER PROGRAMMATIE HANDLEIDING 3-2013 GSM250 GSM OPENER PROGRAMMATIE HANDLEIDING 1. Voedingsspanning 12 VDC + aansluiten op + 12V - aansluiten op GND 2. SIM-kaart voorbereiden De SIM-kaart voorzien van abonnement of indien prepaid,

Nadere informatie

MyDHL+ Uw accountnummer(s) delen

MyDHL+ Uw accountnummer(s) delen MyDHL+ Uw accountnummer(s) delen met anderen Uw accountnummer(s) delen met anderen in MyDHL+ In MyDHL+ is het mogelijk om uw accountnummer(s) te delen met anderen om op uw accountnummer een zending te

Nadere informatie

Quick scan method to evaluate your applied (educational) game. Validated scales from comprehensive GEM (Game based learning Evaluation Model)

Quick scan method to evaluate your applied (educational) game. Validated scales from comprehensive GEM (Game based learning Evaluation Model) WHAT IS LITTLE GEM? Quick scan method to evaluate your applied (educational) game (light validation) 1. Standardized questionnaires Validated scales from comprehensive GEM (Game based learning Evaluation

Nadere informatie

NMOZTMKUDLVDKECVLKBVESBKHWIDKPDF-WWUS Page File Size 9,952 KB 29 May, 2016

NMOZTMKUDLVDKECVLKBVESBKHWIDKPDF-WWUS Page File Size 9,952 KB 29 May, 2016 NAVIJVEN MINILAMPJES OM ZELF TE MAKEN KERSTFIGUREN UIT DE LAPPENMAND VOOR DE KINDERSSALOON EN COWBOYS VAN LOLLYSTOKJES KAMERBREED BOEKENREK VOOR EEN SMAL BUDGETGEBAKKEN KOEKFIGUURTJES HANGEN WE IN DE KERSTBOOM

Nadere informatie

EndNote Web handleiding

EndNote Web handleiding EndNote Web handleiding Bibliographic reference manager voor het verzamelen, beheren, delen van referenties en het maken van literatuurlijsten. 1. Een account aanmaken. Je kan je registreren via de databank

Nadere informatie

Deze actie kadert binnen het project SOLABIO-'Soorten en landschappen als dragers voor biodiversiteit', mede gefinancierd door het Europees programma

Deze actie kadert binnen het project SOLABIO-'Soorten en landschappen als dragers voor biodiversiteit', mede gefinancierd door het Europees programma !"#" $% #!&'!()!!$% *!$ + ), -!. /!& $ 0 ( 1 & & $ $ 1 ( #!& #!& #!& &% 2/3*""4 $$%/"32"4 5 ) 66 &. ) #!& ) 7 &, 89 8.9,7 !!:%$ " # $ # % $ & $ ;!!! $!:%$ 1!!! 0 0!!! ;, *!$ *!# + ; *! *!* *!-

Nadere informatie

EM7680 Firmware Auto-Update for Kodi 17.2

EM7680 Firmware Auto-Update for Kodi 17.2 EM7680 Firmware Auto-Update for Kodi 17.2 2 NEDERLANDS/ENGLISH EM7680 Firmware Auto-update for Kodi 17.2 Table of contents 1.0 (NL) Introductie... 3 2.0 (NL) Firmware installeren... 3 3.0 (NL) Opmerking...

Nadere informatie

Rijk der Farao s. De Zonneboot van Choefoe The Solarboot of Khufu Modelbouw tekeningen Modelling plans

Rijk der Farao s. De Zonneboot van Choefoe The Solarboot of Khufu Modelbouw tekeningen Modelling plans Rijk der Farao s De Zonneboot van Choefoe The Solarboot of Khufu Modelbouw tekeningen Modelling plans 2017-05-25 De Zonneboot van Choefoe wordt u aangeboden door Rijk der Farao s en is gemaakt door Hans

Nadere informatie

UvA-DARE (Digital Academic Repository) VR as innovation in dental education de Boer, I.R. Link to publication

UvA-DARE (Digital Academic Repository) VR as innovation in dental education de Boer, I.R. Link to publication UvA-DARE (Digital Academic Repository) VR as innovation in dental education de Boer, I.R. Link to publication Citation for published version (APA): de Boer, I. R. (2017). VR as innovation in dental education:

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

L A TEX-cursus 2 e sessie: wiskunde in L A TEX

L A TEX-cursus 2 e sessie: wiskunde in L A TEX L A TEX-cursus 2 e sessie: wiskunde in L A TEX TEXniCie A Eskwadraat 17 november 2014 Vorige week Vorige week hebben jullie het volgende geleerd: Omgaan met *.tex en *.pdf documenten De titel weergeven

Nadere informatie