EE1410: Digitale Systemen BSc. EE, 1e jaar, , 1e college

Maat: px
Weergave met pagina beginnen:

Download "EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 1e college"

Transcriptie

1 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, e college Arjan van Genderen, Stephan Wong, Computer Engineering Delft University of Technology Challenge the future

2 Context: Computersystemen (CS) Computersystemen zijn overal smartphone audio/video apparatuur calculator, PC, game console verkeersregeling liftregeling airco-regeling medische apparatuur besturingssystemen in auto s, vliegtuigen... Computersystemen = Digitale systemen (HW) + Programmatuur (SW) Informatici: SW (+ HW) Electrotechnici: HW+SW (bv. databases, compilers) (bv. apparaten, chips) EE4 Digitale Systemen 2

3 Voorbeeld: airco thermostaat/regelaar airco-unit kamer Tref T verwarmen/ koelen/uit ok/ stuk T thermostaat/ regelaar verwarmer/ koeler wisselaar+ T-sensor = computersysteem, specificatie = Tref-T > delta EN ok --> verwarmen Tref-T < -delta EN ok --> koelen Tref-T < delta OF stuk --> uit EE4 Digitale Systemen 3

4 Printed Circuit Board met ICs (iphone 3G S) Inhoud IC: EE4 Digitale Systemen 4

5 CS = HW + SW CS Ontwerpvrijheid: Tref=inp; T =inp; if (Tref-T>delta) w=; if (Tref-T<-delta) k=;... % HW ontwerp 5% HW, 5% SW ontwerp + std. µ-proc % SW ontwerp Digitale Systemen Programmeren in C EE4 Digitale Systemen 5

6 Digitale Systemen Ontwerppraktijk gewenst gedrag:..., verwarming aan als te koud en airco/verwarmer ok,... Booleaanse algebra: VHDL prog: signal verwarm: bit;... verwarm <= tekoud AND ok;... (simulatie) gewenst gedrag?... verwarm = tekoud. ok... schakeling: bv FPGA chip gewenst gedrag EE4 Digitale Systemen 6

7 VHDL en simulatie belangrijk EE4 Digitale Systemen 7

8 Experimenteer hardware: Breadboard EE4 Digitale Systemen 8

9 EPO-2 Hardware: BASYS2 Board met Xilinx Spartan 3E FPGA FPGA principe: componenten worden verbonden door schakelaars gestuurd door RAM geheugen. FPGA EE4 Digitale Systemen 9

10 EPO-2 Robot EE4 Digitale Systemen

11 Overzicht van het vak 3e kwartaal: introductie digitale systemen, booleaanse algebra, introductie VHDL, eenvoudige schakelingen: combinatorisch, FSMs, tellers 5 x 2 uur hoorcollege, 2 x 2 uur werkcollege (groepsindeling) digitale systemen trainingen in week 3.3 tijdens EPO-2 VHDL opdracht maken en uploaden op CPM server toetsen: week 3.2 en 3.6 4e kwartaal: ontwerpen van complexe digitale systemen met behulp van VHDL, opbouw processor en programmeren in assembler 5 x 2 uur hoorcollege, 2 x 2 uur werkcollege (groepsindeling) VHDL opdracht maken en uploaden op CPM server toetsen: week 4.2 en 4.4 Het vak komt ook ruim aan bod bij EPO-2 Tentamen 25 juni 23, Hertentamen 3 aug. 23 Toetsen en tentamen zijn open boek Dit vak is ingangseis voor EPO-3 in het 2e jaar! EE4 Digitale Systemen

12 Studiemateriaal College-slides (op Blackboard) Digital Logic with VHDL Design", S. Brown, Z. Vranesic, McGraw-Hill International Edition, 3rd edition, 29 Verder aanbevolen: "Student s Guide to VHDL", P.J. Ashenden, Morgan-Kaufmann, 2 nd edition 28 (of st edition 998) Video opnamen van hoorcolleges komen op collegerama EE4 Digitale Systemen 2

13 Rooster 3e kwartaal (onder voorbehoud) week ma e + 2 e uur do e + 2 e uur verder intro DS, boole algebra intro VHDL 2 two-level netwerken, minimalisatie intro seq. schakelingen, FSMs toets (h-3) 3 VHDL voor seq. schak. 2 x DS prac. in EPO-2 4 werkcollege, gr. A-B werkcollege, gr. B2-C2 5 werkcollege, gr. C3-D4 werkcollege 2, gr. A-B 6 werkcollege 2, gr. B2-C2 werkcollege 2, gr. C3-D4 toets (h4,h5,w) 7 vragencollege hoorcollege in zaal C (ma) in zaal B (do), werkcollege in zaal PC. (Drebbelweg) EE4 Digitale Systemen 3

14 VHDL opdracht Opdracht wordt bekend gemaakt via BB VHDL code schrijven en simuleren met ModelSim Inleveren op e opdracht uiterlijk uur 26 maart Assistentie eventueel bij assistenten EPO-2 Nieuwe opdrachten in het 4e kwartaal Opdrachten afronden is verplicht. EE4 Digitale Systemen 4

15 Huiswerk In het 3e kwartaal, op de slides van de niet-vhdl colleges: huiswerkopdrachten Voor zelfstandig oefenen Uitwerkingen 3 dagen later beschikbaar Verdere bespreking eventueel tijdens vragencollege aan het einde van het kwartaal. EE4 Digitale Systemen 5

16 Hoorcollege Digitale systemen digitaal versus analoog Systeembeschrijvingen schakelaar, waarheidstabel, poort, tijdsdiagram,... Systeemtypen combinatorisch versus sequentieel Booleaanse algebra Wetten en stellingen Vereenvoudiging, afbeelding naar schakeling Corresponderende stof in boek Digital Logic : (geheel), (niet 2.5.), 2.9, 5. EE4 Digitale Systemen 6

17 Digitale systemen EE4 Digitale Systemen 7

18 Digitaal versus analoog Digitale systemen: analoge waarden (bv. temp, spanning, natuurconst.) worden gerepresenteerd m.b.v. een eindig aantal getallen (digits) Bv. dig. voltmeter: V (display): V (gemeten) Andere voorbeelden: voltmeter met wijzer: analoog thermometer met cijferdisplay: digitaal kwikthermometer: analoog pi: analoog 3.45: digitaal e: analoog ouderwetse klok: analoog EE4 Digitale Systemen 8

19 Voordelen van digitale systemen verstoringen Temperatuur Sensor Thermostaat/ Regelaar Hoe kan ik het beste de temperatuur (25. o C) doorgeven: Analoog: signaaldraad, bv. V, 25. o C = 2.5V moet dan wel binnen.v nauwkeurig Digitaal: bv. met 3 signaaldraden, ieder met nivo s: V, V, 2V,, 7V, 8V, 9V 25. o C 2V,5V,V = 25 ( ). o C zelfs afwijkingen van. -.4V zijn geen probleem Voordelen: storingsongevoelig(er) simpele(re) elektronica Nadeel: meer signaallijnen en componenten (maar op moderne chip genoeg voorradig!) EE4 Digitale Systemen 9

20 Binaire systemen Twee discrete waarden (binair = tweewaardig):, waar,... (bv. 3 V, 25 ma, ), onwaar,... (bv. V, ma, ) Om allerlei data te representeren (en mee te rekenen): 25. o C 3V,3V,3V,3V,3V,V,3V,V = ( ). o C = 25. o C Om beslissingen te nemen gebaseerd op logica ALS (T ref - T > Δ) EN (airco/verw. unit ok) DESD* (verwarming aan) Beide condities moeten waar zijn voordat verwarming aan waar is (dwz. waar mag zijn) * DESD = dan en slechts dan EE4 Digitale Systemen 2

21 Binaire systemen Booleaanse algebra Algebra definieert waarden en operaties op waarden Booleaanse algebra: waarden: (false, onwaar) (true, waar) operaties: (NOT,, niet) (AND, en) + (OR, of) X NOT X X Y X AND Y X Y X OR Y EE4 Digitale Systemen 2

22 Toepassing Booleaanse algebra op airco-voorbeeld (verwarming aan) = (T ref - T > Δ) AND (airco/verw. unit ok) T ref - T > Δ? airco/verw. unit ok? verwarming aan? false () false () true () true () false () true () false () true () false () false () false () true () EE4 Digitale Systemen 22

23 Systeembeschrijvingen EE4 Digitale Systemen 23

24 Systeembeschrijvingen Digitaal Systeem Statische beschrijving Statisch & Dynamisch Schakelaars Waarheidstabel Tijdsdiagram HDL(bv. VHDL) Booleaanse algebra Poortschakeling Bouwblokken EE4 Digitale Systemen 24

25 Beschrijving mbv schakelaars schakelaartypen: Normally Open: False True nmos transistor Normally Closed: False True pmos transistor beschrijving NOT, AND, OR: NOT: AND: OR: T rue False A A False True A B A B False T rue A B A + B EE4 Digitale Systemen 25

26 Beschrijving mbv waarheidstabel Tabelleer alle mogelijke ingangscombinaties met bijbehorende uitgangswaarden Voorbeeld: Half Adder (telt 2 binaire waarden A en B op) A B + + Carry + + Sum vergelijk decimale waarden: Waarheidstabel Half Adder: Carry A B Carry Sum EE4 Digitale Systemen 26

27 Beschrijving mbv Booleaanse algebra Booleaanse algebra: getallen: (false), (true) operaties: NOT, AND, OR,... NOT X X ; X AND Y X Y X Y; X OR Y X + Y Algebraïsche beschrijving van Half Adder: A B Carry Sum Sum = A B + A B Carry = A B EE4 Digitale Systemen 27

28 Beschrijving mbv poorten Poorten: bouwblokken met een directe elektronische implementatie Standaard poorten: NOT AND A Schakeling voor Half Adder: A B Sum OR B A B Carry Sum = A B + A B Carry = A B EE4 Digitale Systemen 28

29 Beschrijving mbv tijdsdiagrammen beschrijft ook dynamisch gedrag (vertragingstijden!) A B SUM CARRY Tijdsdiagram van de Half Adder: 2 A Sum sum propagation delay sum propagation delay circuit hazard (tijdelijk foutje ) B Carry EE4 Digitale Systemen 29

30 Beschrijving mbv blokken nadruk op structuur van systeem ipv. gedrag biedt mogelijkheid hierarchisch ontwerpen Full Adder gebouwd met Half Adder bouwblokken: Bouwblok representatie van de Full Adder A B Cin A B Sum HA Carry A B Sum HA Carry Sum Cout A B Cin A Sum B F A Cin Cout Sum Cout N.B. een Full Adder telt 3 bits bij elkaar op: A, B en Cin EE4 Digitale Systemen 3

31 Beschrijving mbv een HDL HDL = Hardware Description Language Voorbeeld: VHDL beschrijving van een Half Adder: ENTITY Half_Adder IS PORT( A: in bit; B: in bit; Sum: out bit; Carry: out bit) ; END Half_Adder; ARCHITECTURE my_half_adder_arch OF Half_Adder IS BEGIN Carry <= A AND B AFTER ns; Sum <= (NOT A AND B) OR (A AND NOT B) AFTER 2 ns; END my_half_adder_arch; EE4 Digitale Systemen 3

32 Verschillende Half Adder Representaties Waarheidstabel: Poortschema: A B Sum Carry A Sum Booleaanse algebra: Sum = A B + A B B Carry Carry = A B Tijdsdiagram: 2 VHDL: A B SUM CARR Y EE4 Digitale Systemen 32

33 Systeemtypes EE4 Digitale Systemen 33

34 Combinatorische systemen gebouwd uit poorten geen terugkoppeling van uitgangen naar ingangen uitgangen zijn alleen afhankelijk van momentane ingangswaarden geen geheugen (geen interne toestand) Voorbeeld: Full Adder A B Cin Cout Sum A B Cin Full Adder Sum Cout EE4 Digitale Systemen 34

35 Sequentiële systemen wel terugkoppeling van uitgangen naar ingangen uitgangen afhankelijk van ingangswaarden en verleden (geheugen) systeem heeft interne toestandsruimte (verzameling mogelijke toestanden) Voorbeeld: teller o ( afh. van i) i o2 ( afh. van i) synchrone systemen: toestandsverandering bestuurd door speciaal signaal (clock) (bv: i = clock, teller gaat naar volgende waarde als i van naar ) asynchrone systemen: toestandsverandering op willekeurig moment (bv: teller gaat lopen als i = ) EE4 Digitale Systemen 35

36 Booleaanse algebra EE4 Digitale Systemen 36

37 Booleaanse algebra Booleaanse algebra bestaat uit: verzameling getallen B = {, } ({false, true}) de unaire operatie (NOT) de binaire operaties, + (AND, OR) waarbij: = = + = = = + = = + = = + = EE4 Digitale Systemen 37

38 Belangrijke wetten booleaanse algebra. Involutie eigenschap: (i) (a ) = a 2. Idempotent eigenschap: (i) a + a = a (ii) a a = a 3. Identiteits eigenschap: (i) a + = a (ii) a = a 4. Complementaire eigenschap: (i) a + a' = (ii) a a' = 5. Commutatieve eigenschap: (i) a + b = b + a (ii) a b = b a 6. Associatieve eigenschap: (i) (a + b) + c = a + (b + c) = a + b + c (ii) (a b) c = a (b c) = a b c 7. Distributieve eigenschap: (i) a + (b c) = (a + b) (a + c) (ii) a (b + c) = a b + a c Simpel af te leiden uit: = = + = = = + = = + = = + = EE4 Digitale Systemen 38

39 Booleaanse algebra (vervolg) Ook gebruiken we vaak de volgende stellingen: Stelling van DeMorgan: (i) (a + b) = a b (ii) (a b) = a + b Vereenvoudigingstellingen: (i) a b + a b = a (ii) (a + b) (a + b ) = a (i) a + a b = a (ii) a (a + b) = a Booleaanse algebra wordt met name gebruikt voor: specificatie gewenst gedrag afbeelden van de specificatie naar een schakeling minimalisatie van het aantal operaties (vereenvoudiging) herschrijven in termen van beschikbare operaties EE4 Digitale Systemen 39

40 Een paar bewijzen Vereenvoudigingstelling : distributieve eigenschap (7) X Y + X Y' = X X Y + X Y' = X (Y + Y') complementaire e. (4) X (Y + Y') = X identiteits e. (3) X = X Vereenvoudigingstelling 2: X + X Y = X Identiteits e. (3) X + X Y = X + X Y distributieve e. (7) X + X Y = X ( + Y) identiteits e. (3) X ( + Y) = X identiteits e. (3) X = X EE4 Digitale Systemen 4

41 Stelling van DeMorgan (X + Y)' = X' Y' X Y X Y X + Y X Y (X Y)' = X' + Y' X Y X Y X Y X + Y Geldt ook voor meerdere termen, bijv. (X + Y + Z)' = X' Y' Z' Voorbeeld toepassing: complement bepalen van een AND/OR expressie Z = A' B' C + A' B C + A B' C + A B C' Z' = (A' B' C + A' B C + A B' C + A B C')' Z' = (A' B' C) ' (A' B C) ' (A B' C) ' (A B C')' m.b.v. DeMorgan Z' = (A + B + C') (A + B' + C') (A' + B + C') (A' + B' + C) m.b.v. DeMorgan EE4 Digitale Systemen 4

42 Toepassingen: van expressies naar schakelingen Voorbeeld: Z = A' B' (C + D) 3-input poort A B Z C D Andere interpretatie: T2 Z = A' B' (C + D) = A' (B' (C + D)) T A Z B C T D T 2 EE4 Digitale Systemen 42

43 Toepassing: van expressies naar schakelingen Voorbeeld: Wanneer ga ik carpoolen? (Y): - als Ad ook gaat (A) - maar niet als Ben gaat (B) - maar in elk geval als Corrie gaat (C) Maak een binair systeem dat bepaalt wanneer ik ga. Y =? Bijbehorende Booleaanse expressie: Y = A B + C Wanneer alleen inverters (NOT) en OR poorten beschikbaar: A B = ((A B ) ) = (A + (B ) ) = (A + B) Dus Y = A B + C = (A + B) + C 2 inverters ( ) en 2 OR poorten (+) A B C Y EE4 Digitale Systemen 43

44 Toepassing: vereenvoudigen van expressies Carpoolvoorbeeld: Stel je start met waarheidstabel: Beeldt deze af naar Booleaanse expressie: Y = A B C + A B C + A B C + A B C + A B C Y = BC(A + A) + B C(A + A) + AB C = A B C Y Minimalisatie mbv de basiswetten + simplificatiestellingen: Y = A B + C Bewijs dit! C(B + B ) + AB C = C + AB C = C + AB C + AB C = C + AB Q.E.D. J EE4 Digitale Systemen 44

45 Samengestelde operaties: NAND, NOR NAND X Y Z A NAND B = NOT (A AND B) X Y Z NOR X Y Z A NOR B = NOT (A OR B) X Y Z In huidige technologieen zijn NAND, NOR poorten beter te maken dan AND, OR poorten Elke Booleaans expressie is uitdrukbaar in NAND, NOR, NOT m.b.v. DeMorgan: A + B = (A'.B')' OR is equivalent aan NAND met geïnverteerde ingangen A.B = (A' + B')' AND is equivalent aan NOR met geïnverteerde ingangen NB: NOT = NAND of NOR met beide ingangen aan elkaar (bewijs dit eens mbv Booleaanse algebra!) EE4 Digitale Systemen 45

46 Complexe operaties: XOR, XNOR XOR (exclusive OR): X XOR Y = X (NOT Y) OR (NOT X) Y X Y = X Y' + X' Y X Z Y X Y Z XNOR: (X Y) = (X Y' + X' Y) =... = X Y + X' Y Bewijzen! X Y Z X Y Z EE4 Digitale Systemen 46

47 Vereenvoudiging van expressies Doel: reduceer complexiteit van resulterende poortschakeling aantal operaties/variabelen = aantal poorten en aantal poortingangen aantal haakjeniveaus = aantal poortlagen Achtergrond: minder poortingangen snellere poorten aantal poortingangen sowieso beperkt minder poorten minder poortlagen lagere kosten / minder energieverbruik minder vertragingstijd EE4 Digitale Systemen 47

48 Voorbeeld: alternatieve circuit-afbeeldingen A B C A B C Z Z 2-level realisatie (inverters tellen niet) multi-level realisatie (simpele poorten) Z 2 (bewijs de equivalentie van de drie realisaties!) Z 3 complexe poorten (min. # poorten) EE4 Digitale Systemen 48

49 Samenvatting Belangrijkste elementen: wat zijn digitale systemen? wat zijn de basisoperaties? welke systeembeschrijvingen kennen we? hoe drukken we ze in elkaar uit? hoe werkt de Booleaanse algebra? hoe bewijzen we systeemequivalenties? Volgende college donderdagochtend: Introductie VHDL Woensdagochtend 2 feb uur: toets over college t/m 3 EE4 Digitale Systemen 49

50 Huiswerkopgaven Doel huiswerkopgaven: voorbereiding op toetsen / tentamens Opmerkingen: Er is minimaal antwoord de juiste. Er kunnen echter meerdere antwoorden juist zijn. Volgend college: antwoorden op Blackboard. EE4 Digitale Systemen 5

51 Getalconversies Vraag : Wat is B in het decimale stelsel? a. 22 D b. 38 D c. 7 D d. geen van bovenstaande antwoorden. Vraag 2: Gegeven a = B en b = B Welke relatie geldt er tussen a en b? a. a > b b. a = b c. a < b EE4 Digitale Systemen 5

52 Getalconversies Vraag 3: Wat is 5 D in het binaire stelsel? a. B b. B c. B d. B Vraag 4: Wat is 5 D in het hexadecimale stelsel? (6-tallig stelsel met digits:,,... 9, A, B, C, D, E, F) a. 33 H b. 3 H c. ABC H d. 33 H EE4 Digitale Systemen 52

53 Vereenvoudigingen Vraag 6: Gegeven de volgende expressie a + a bc + a b + abc + (a + ac )(c + d) Welke van de volgende vereenvoudigingen is/zijn correct? a. a + b + c + d b. a + b + c + d c. a + b + c + d d. a + b + c + d Vraag 7: Gegeven de volgende expressie xy + x z + yz Welke van de volgende vereenvoudigingen is/zijn correct? a. xy + x z b. x z + yz c. xy + yz d. geen van bovenstaande antwoorden. EE4 Digitale Systemen 53

54 Representaties Vraag 8: Gevraagd een circuit die door middel van de uitgangswaarde y = aangeeft dat precies 2 van de 3 ingangen a, b en c de waarde hebben. Welke specificatie(s) representeert(en) het gevraagde circuit? a. y = a b + b c + a c b. y = a b c + a b c + a b c c. y = (a + b + c + a b c) d. geen van bovenstaande antwoorden. Vraag 9: Gevraagd een circuit die een binair getal x, aangeboden op de ingangen a en b volgens x = a 2 + b 2 met een factor 2 vermenigvuldigt en als y = 2 x aanbiedt op de uitgangen f, g en h volgens y = f g 2 + h 2. Welke specificatie(s) representeert(en) het gevraagde circuit? a. f = a, g = b, h = b. f = a + a, g = b + b, h = c. f = a + b, g = a + b, h = a d. geen van bovenstaande antwoorden. EE4 Digitale Systemen 54

55 Representaties Vraag : Gegeven het volgende tijdsdiagram: A B C F Welke expressie(s) correspondeert(en) hiermee? a. f = ab + c b. f = a + b + c c. f = a b + c d. f = a b c EE4 Digitale Systemen 55

56 Representaties Vraag : Gegeven onderstaand circuit: a b c F a b c Welke expressie(s) correspondeert(en) hiermee? a. f = ab + c b. f = a + b + c c. f = a b + c d. f = a b c EE4 Digitale Systemen 56

57 Casus luxaflex besturing Systeemontwerp We willen een automatische luxaflex-besturing ontwerpen aan de hand van de volgende specificatie: tussen 7. uur en 2. uur moet de luxaflex open zijn in principe moet de luxaflex tijdens die periode open zijn, tenzij de buitentemp hoger dan 22 o C is indien iemand thuis is in die periode dan moet de luxaflex altijd open zijn Stel nu dat uw besturing 2 uitgangsignalen moet afgeven, te weten O (luxaflex openen) en S (luxaflex sluiten). Vraag 2: Kunnen beide uitgangen tegelijkertijd zijn? a. ja b. nee c. doet er niet toe d. is nog niet te beantwoorden EE4 Digitale Systemen 57

58 Systeemontwerp Vraag 3: Zie de ontwerpcasus luxaflexbesturing. Gegeven de gewenste gedragspecificatie, hoeveel ingangsignalen denkt u nodig te hebben? a. 2 b. 3 c. 4 d. geen van bovenstaande antwoorden EE4 Digitale Systemen 58

59 Systeemontwerp Vraag 4: Zie de casus luxaflexbesturing. Stel dat de volgende signalen ter beschikking staan: W warmte, is als buitentemp > 22 o C P persoon, is als er iemand in huis is D dag, is als het tussen 7. uur en 9. uur is Stel nu dat u slechts uitgangsignaal L hoeft af te geven, die bepaalt of de luxaflex open (L = ) of dicht moet (L = ). Wat is (zijn) de juiste expressie(s) voor L? a. L = D + D W + D P b. L = D W + D W P c. L = D W + D P d. L = D + D W P EE4 Digitale Systemen 59

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 12 November 2013

Processoren. Marc Seutter & David N. Jansen 12 November 2013 Processoren Marc Seutter & David N. Jansen 12 November 2013 Leerdoel opbouw van de hardware in een computer je construeert een (eenvoudige) processor je schrijft een (kort) assembly-programma je kunt uitleggen:

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, 2011-2012, 2e werkcollege EE4: igitale Systemen BSc. EE, e jaar, 2-22, 2e werkcollege Arjan van Genderen, Stephan Wong, Computer Engineering 5 t/m 22-3-22 elft University of Technology Challenge the future Voor je begint. ownload

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011

VHDL overzicht. Digitale Systemen (ET1 410) VHDL? VHDL? Sequentieel vs. Concurrent 2/15/2011 VHDL overzicht Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2010 2011 Wat is VHDL? Waarvoor gebruiken we het? Deze college Sequentieel

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur

Toets Digitale Systemen 01/06/2006, 8.45 10.30 uur Toets igitale Systemen 0/06/2006, 8.45 0.30 uur e toets is open boek en bestaat uit 0 multiple-choice (MC) vragen en 3 open vragen. e MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 8e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 8e hoorcollege rjan van Genderen, Stephan Wong, Computer Engineering 3-5-23 Delft University of Technology Challenge the future Hoorcollege 8 Combinatorische

Nadere informatie

Digitale Systemen (ET1 410)

Digitale Systemen (ET1 410) Digitale Systemen (ET1 410) Arjan van Genderen Stephan Wong Faculteit EWI Technische Universiteit Delft Cursus 2011 28-4-2011 EE1 410 (Stephan Wong) Pagina 1 Verschil simulatie en synthese Simulatie: functioneel

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

Antwoorden vragen en opgaven Basismodule

Antwoorden vragen en opgaven Basismodule Antwoorden vragen en opgaven Basismodule Antwoorden van vragen en opgaven van hoofdstuk 1 1. Is elke combinatorische schakeling een digitale schakeling? Zo nee, waarom niet? Antwoord: Elke combinatorische

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 3e college EE4: igitale Systemen Sc. EE, e jaar, 22-23, 3e college rjan van Genderen, Stephan Wong, omputer Engineering 8-2-23 elft University of Technology hallenge the future Hoorcollege 3 anonieke vorm two-level

Nadere informatie

Eindtentamen Digitale Systemen 18/06/2007, uur

Eindtentamen Digitale Systemen 18/06/2007, uur Eindtentamen Digitale Systemen 8/6/27, 9. 2. uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier.

Nadere informatie

logische schakelingen & logica antwoorden

logische schakelingen & logica antwoorden 2017 logische schakelingen & logica antwoorden F. Vonk versie 4 2-8-2017 inhoudsopgave waarheidstabellen... - 3 - logische schakelingen... - 4 - meer over logische schakelingen... - 8 - logica... - 10

Nadere informatie

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam

Van Poort tot Pipeline. Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Van Poort tot Pipeline Pipeline processor One cycle machine Calculator File of registers Assembly

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 10 November 2014

Processoren. Marc Seutter & David N. Jansen 10 November 2014 Processoren Marc Seutter & David N. Jansen 10 November 2014 Leerdoelen Inzicht krijgen in de opbouw van de hardware van een computer en de instructies van een processor. je construeert een (eenvoudige)

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 6e hoorcollege EE4: Digitale Systemen BSc. EE, e jaar, 22-23, 6e hoorcollege Arjan van Genderen, Stephan Wg, Computer Engineering 22-4-23 Delft University of Technology Challenge the future Rooster 4e kwartaal (der voorbehoud)

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

Toets Digitale Systemen 31/05/2007, uur

Toets Digitale Systemen 31/05/2007, uur Toets Digitale Systemen 3/5/27, 8.3.3 uur De toets is open boek en bestaat uit multiple-choice (MC) vragen en 3 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte MC-formulier. Enkele

Nadere informatie

Proeftentamen Digitale technieken

Proeftentamen Digitale technieken Proeftentamen Digitale technieken André Deutz October 17, 2007 De opgaven kunnen uiteraard in willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 19 2 Elementaire bewerkingen 1 BINAIRE GETALLEN In het vorige hoofdstuk heb je gezien dat rijen bits worden gebruikt om lettertekens, getallen, kleuren, geluid en video

Nadere informatie

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen Informatica 2 Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen 1 Op dit lesmateriaal is een Creative Commons licentie van toepassing. 2014 Remie Woudt remie.woudt@gmail.com

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

logische schakelingen & logica

logische schakelingen & logica 2016 logische schakelingen & logica F. Vonk versie 2 14-6-2016 Inhoudsopgave 1. inleiding... - 2-2. optellen... - 3-3. logische poorten... - 6-4. waarheidstabellen... - 8-5. logische schakelingen... -

Nadere informatie

Deeltoets Digitale technieken

Deeltoets Digitale technieken Deeltoets Digitale technieken André Deutz 22 oktober, 2007 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 10e hoorcollege EE1410: Digitale Systemen BSc. EE, 1e jaar, 2012-2013, 10e hoorcollege Arjan van Genderen, Stephan Wong, Computer Engineering 13-5-2013 Delft University of Technology Challenge the future Hoorcollege 10

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 17 2 Elementaire bewerkingen In dit hoofdstuk leer je hoe werken met binaire getallen en hexadecimale getallen omgezet wordt naar een decimaal getal en omgekeerd. Vervolgens

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur

Eindtentamen Digitale Systemen (ET1405) 18 juni 2008, uur Eindtentamen Digitale Systemen (ET405) 8 juni 2008, 9.00 2.00 uur De tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 4 open vragen. De MC-vragen dienen beantwoord te worden op het

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Uitwerkingen vorige opgavenserie Behandelen oefenopgaven

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

Digitale Systeem Engineering 1

Digitale Systeem Engineering 1 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2017-2018 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Eindtentamen Digitale Systemen 07/07/2006, uur

Eindtentamen Digitale Systemen 07/07/2006, uur Eindtentamen Digitale Systemen 07/07/2006, 9.00 2.00 uur Het tentamen is open boek en bestaat uit 8 multiple choice (MC) vragen en 2 open vragen. De MC-vragen dienen beantwoord te worden op het uitgereikte

Nadere informatie

Getallenrepresenta*e. Processen en Processoren 7 februari 2012

Getallenrepresenta*e. Processen en Processoren 7 februari 2012 Getallenrepresenta*e Processen en Processoren 7 februari 2012 Vrijwilligers voor dinsdagmiddag werkcollege ca. 17 studenten dinsdagmiddag 15.45, ca. 33 studenten woensdagochtend 10.45 bonusregeling Als

Nadere informatie

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw 2018/2019 Elektrotechniek Semester 1.1 Studiewijzer Inleiding Digitale Techniek (E-INLDIG-13 ) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Ben

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het 2 e werkcollege van Processen & Processoren! Overzicht van resultaten Opmerkingen over inleveren Uitwerkingen

Nadere informatie

Digitaal is een magisch woord

Digitaal is een magisch woord Digitaal is een magisch woord Hieronder leest u over digitale logica. De theorie en de praktijk. Dit werk moet nog uitgebreid worden met meer informatie over TTL, CMOS en varianten. Daarnaast kunnen de

Nadere informatie

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek

Basisconcept VHDL. Digitaal Ontwerpen Tweede studiejaar. Wim Dolman. Engineering, leerroute Elektrotechniek Faculteit Techniek Basisconcept VHDL Tweede studiejaar Wim Dolman Engineering, leerroute Elektrotechniek Faculteit Techniek 1 Deze presentatie toont de stappen voor het ontwerpen van een digitale combinatorische schakeling

Nadere informatie

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015

Digitale Systeem Engineering 1. Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Digitale Systeem Engineering 1 Week 1 VHDL basics, datatypes, signal assignment Jesse op den Brouw DIGSE1/2014-2015 Wat is VHDL VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur

Tentamen Digitale Systemen (EE1410) 6 juli 2012, uur Tentamen igitale Systemen (EE4) 6 juli 22, 9. 2. uur it tentamen is een open boek tentamen en bestaat uit 8 multiple choice (M) vragen (63%) en 5 open vragen (37%). e M-vragen dienen beantwoord te worden

Nadere informatie

Studiewijzer 5A050 Schakeltechniek

Studiewijzer 5A050 Schakeltechniek Studiewijzer 5A050 Schakeltechniek Inhoud dr.ir. L. Jóźwiak augustus 2005 1 Inleiding 1 2 Algemene informatie 1 3 Inhoud van het vak 2 4 Operationele doelstellingen 3 5 Plaats in het curriculum 3 6 Onderwijsvorm

Nadere informatie

Logische Schakelingen

Logische Schakelingen Logische Schakelingen Reader Elektro 2.2 Erik Dahmen Techniek en Gebouwde Omgeving Logische Schakelingen Inhoudsopgave: Definitie Logische Schakelingen EN / NEN functie OF / NOF functie NIET-functie De

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

Hoe werkt een computer precies?

Hoe werkt een computer precies? Hoe werkt een computer precies? Met steun van stichting Edict Ben Bruidegom & Wouter Koolen-Wijkstra AMSTEL Instituut Universiteit van Amsterdam Overview Introductie SIM-PL Simulatietool voor werking computer

Nadere informatie

Slimme schakelingen (2)

Slimme schakelingen (2) Slimme schakelingen (2) Technische informatica in de zorg Thijs Harleman Modulecode: TMGZ-AMAL23 23 februari 2015 1 Overzicht college Doel van dit college: Verdiepen van kennis en inzicht van het ontwerpen

Nadere informatie

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Na de geziene leerstof zijn we stilaan in staat om praktisch toepasbare digitale schakelingen de ontwerpen en te realiseren. ij

Nadere informatie

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken.

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken. Talstelsels 1 Algemeenheden Digitale systemen werken met nullen en enen omdat dit elektronisch gemakkelijke te verwezenlijken is. De transistor kent enkel twee toestanden (geleiden of sperren) Hierdoor

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 5 2 s complement representatie, BCD-optellen Jesse op den Brouw INLDIG/2015-2016 Introductie negatieve getallen Tot nu toe zijn alleen positieve getallen (en nul) behandeld.

Nadere informatie

In deze mannual zal ik het voorbeeld van de Led cube gebruiken maar de principes zijn op alles toepasbaar.

In deze mannual zal ik het voorbeeld van de Led cube gebruiken maar de principes zijn op alles toepasbaar. Parallelle poort interface met Visual basic Waarom dit naslagwerk: Ik was zelf een beginner op dit vlak en heb dagen lopen zoeken naar correcte en up to date info inzake de aansturing van pc naar elektronica

Nadere informatie

Les 1 Beslissen met poorten

Les 1 Beslissen met poorten Beslissen met poorten Inhoud Beslissen met poorten...1 Verzamelingen...2 Verzamelingenleer...2 VENN-diagram...2 Logica...3 Booleaanse algebra...4 Waarheidstabel...5 Logische negatie...5 Logische conjunctie...5

Nadere informatie

Logic for Computer Science

Logic for Computer Science Logic for Computer Science 06 Normaalvormen en semantische tableaux Wouter Swierstra University of Utrecht 1 Vorige keer Oneindige verzamelingen 2 Vandaag Wanneer zijn twee formules hetzelfde? Zijn er

Nadere informatie

Tentamen Computersystemen

Tentamen Computersystemen Tentamen Computersystemen baicosy06 2e jaar bachelor AI, 2e semester 23 september 2013 13u-15u IWO 4.04A (blauw), Academisch Medisch Centrum, Meidreef 29, Amsterdam ZuidOost Het is niet toegestaan communicatieapparatuur

Nadere informatie

Opdracht week 4 INLDIG 1

Opdracht week 4 INLDIG 1 Opdracht week 4 Binair-naar-BCD omzetting Inleiding In de digitale techniek worden getallen opgeslagen in het binaire talstelsel. Rekenschakelingen zijn zo eenvoudig te ontwerpen. Helaas is het aflezen

Nadere informatie

Wouter Geraedts Processen & Processoren

Wouter Geraedts Processen & Processoren FACULTEIT DER NATUURWETENSCHAPPEN, WISKUNDE EN INFORMATICA Wouter Geraedts Overzicht Welkom op het werkcollege van Processen & Processoren! Gang van zaken Behandelen oefenopgaven w.geraedts@student.ru.nl

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college

EE1410: Digitale Systemen BSc. EE, 1e jaar, , 4e college EE4: igitale Systemen BSc. EE, e jaar, 22-23, 4e college Arjan van Genderen, Stephan Wong, Computer Engineering 2-2-23 elft University of Technology Challenge the future Mededelingen Volgende week tijdens

Nadere informatie

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten

Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten 2018/2019 Elektrotechniek Semester 1.2 Studiewijzer Digitale Systeemengineering 1 (E-DIGSE1-13) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Wasif

Nadere informatie

scc = b) CD AB

scc = b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

ES1 Project 1: Microcontrollers

ES1 Project 1: Microcontrollers ES1 Project 1: Microcontrollers Les 3: Eenvoudige externe hardware & hardware programmeren in C Hardware programmeren in C Inmiddels ben je al aardig op gang gekomen met het programmeren van microcontrollers.

Nadere informatie

Jan Genoe KHLim. VHDL Inleiding. In dit hoofdstuk situeren we het steeds toenemende belang van VHDL in het elektronisch ontwerp.

Jan Genoe KHLim. VHDL Inleiding. In dit hoofdstuk situeren we het steeds toenemende belang van VHDL in het elektronisch ontwerp. inleiding Inleiding Jan Genoe KHLim In dit hoofdstuk situeren we het steeds toenemende belang van in het elektronisch ontwerp. 1 inleiding Doelstellingen en behandelde topics doelstelling: de voornaamste

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 2 Binaire getallen, BCD, Gray, ASCII, 7-segment Jesse op den Brouw INLDIG/205-206 Decimaal talstelsel Ons talstelsel is een zogenaamd positioneel talstelsel. Een getal

Nadere informatie

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014

von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 von-neumann-architectuur Opbouw van een CPU Processoren 1 december 2014 Herhaling: Booleaanse algebra (B = {0,1},., +, ) Elke Booleaanse functie f: B n B m kan met., +, geschreven worden Met Gates (electronische

Nadere informatie

start -> id (k (f c s) (g s c)) -> k (f c s) (g s c) -> f c s -> s c

start -> id (k (f c s) (g s c)) -> k (f c s) (g s c) -> f c s -> s c Een Minimaal Formalisme om te Programmeren We hebben gezien dat Turing machines beschouwd kunnen worden als universele computers. D.w.z. dat iedere berekening met natuurlijke getallen die met een computer

Nadere informatie

VOORBLAD SCHRIFTELIJKE TOETSEN

VOORBLAD SCHRIFTELIJKE TOETSEN VOORBLAD SCHRIFTELIJKE TOETSEN OPLEIDING : ELEKTROTECHNIEK TOETSCODE : UITWERKINGEN INLDIG GROEP : EP, EQD TOETSDATUM : 3 OKTOBER 24 TIJD : 3: 4:3 AANTAL PAGINA S (incl. voorblad) : DEZE TOETS BESTAAT

Nadere informatie

Matrices en Grafen (wi1110ee)

Matrices en Grafen (wi1110ee) Matrices en Grafen (wi1110ee) Electrical Engineering TUDelft September 1, 2010 September 1, 2010 Inleiding Mekelweg 4, kamer 4.240 tel : (015 27)86408 e-mail : I.A.M.Goddijn@TUDelft.nl homepage : http:

Nadere informatie

Naam: Oumaima Bekour Klas: M4b ICT De Lange. Hardware

Naam: Oumaima Bekour Klas: M4b ICT De Lange. Hardware Naam: Oumaima Bekour Klas: M4b ICT De Lange Hardware Inleiding 1. Geschiedenis van de computer 2. Hardware 3. Interne componenten en Randapparatuur Geschiedenis De computer is uitgevonden door het rekenen.

Nadere informatie

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco

Ben Bruidegom. Reconstruction: NLT-module Digitale techniek Context: Disco Reconstruction: NLT-module Digitale techniek Context: Disco 2 Context If humidity is > 8% or the temperature > 26 C a fan is blowing; If there are 3 persons inside the disco a red light switches on; If

Nadere informatie

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen Samenvatting door A. 1243 woorden 12 april 2013 7,6 12 keer beoordeeld Vak Natuurkunde Natuurkunde Systemen Systemen We onderscheiden 3 soorten gegevensverwerkende systemen: meetsysteem: meet een grootheid

Nadere informatie

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Burg.Geyskensstraat 11 3580 BERINGEN De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Versie: vrijdag 2 november 2007 2 Toegepaste informatica 1 De Microprocessor Zowel

Nadere informatie

Hoofdstuk 3: Algebra van Boole

Hoofdstuk 3: Algebra van Boole Hoofdstuk 3: lgebra van oole ij het ontwerpen van elektronische systemen is het uit economisch standpunt van belang dat er uiteindelijk een praktische realisatie tot stand komt met zo weinig mogelijk I's.

Nadere informatie

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht

Practica bij het vak. Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht Elektronica en Informatiesystemen Practica bij het vak Inleiding tot de Elektrotechniek: Practicum 2 Analoge versus digitale signalen en hun overdracht door Prof. dr. ir. J. Van Campenhout ir. Sean Rul

Nadere informatie

Registers & Adressering. F. Rubben, ing 2008-2010

Registers & Adressering. F. Rubben, ing 2008-2010 Registers & Adressering, ing 2008-2010 Inhoud Leerstof tot nu toe Opbouw registers Benaming registers Opbouw data Verloop programma Leerstof tot nu toe: Bouw PLC Intern Extern fabrikanten Aansluiten I/O

Nadere informatie

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen

RAM geheugens. Jan Genoe KHLim. Situering RAM-geheugens. Geheugens. Halfgeleider Geheugens. Willekeurig toegankelijk geheugen Jan Genoe KHLim Situering RAM-geheugens Geheugens Halfgeleider Geheugens Serieel toegankelijk geheugen Willekeurig toegankelijk geheugen Read Only Memory ROM Random Access Memory RAM Statische RAM SRAM

Nadere informatie

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015

Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Microcontrollers Week 1 Introductie microcontroller Jesse op den Brouw INLMIC/2014-2015 Computersysteem Een systeem dat rekenkundige operaties, data manipulaties en beslissingen kan uitvoeren, aan de hand

Nadere informatie

GEBRUIKSAANWIJZING HD-9022. Microprocessor Volledig vrij instelbare controller

GEBRUIKSAANWIJZING HD-9022. Microprocessor Volledig vrij instelbare controller GEBRUIKSAANWIJZING HD-9022 Microprocessor Volledig vrij instelbare controller HD9022 MICROPROCESSOR CONFIGURATIE CONTROLLER De microprocessor gestuurde controller HD9022 is een aflees- en regelunit met

Nadere informatie

SIM-PL, auteursomgeving voor digitale componenten

SIM-PL, auteursomgeving voor digitale componenten SIM-PL, auteursomgeving voor digitale componenten Ben Bruidegom en Wouter Koolen-Wijkstra, AMSTEL-insituut UvA Samenvatting SIM-PL is een auteursomgeving om componenten te construeren en te simuleren voor

Nadere informatie

Oefeningen Digitale Elektronica (I), deel 4

Oefeningen Digitale Elektronica (I), deel 4 Oefeningen Digitale Elektronica (I), deel 4 Oefeningen op min en maxtermen, decoders, demultiplexers en multiplexers (hoofdstuk 3, 3.6 3.7) Wat moet ik kunnen na deze oefeningen? Ik kan de minterm en maxtermrealisatie

Nadere informatie

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur.

EXAMENONDERDEEL ELEKTRONISCHE INSTRUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot uur. Technische Universiteit Eindhoven Faculteit Elektrotechniek EXAMENONDEDEEL ELEKTONISHE INSTUMENTATIE (5GG80) gehouden op maandag 2 mei 2005, van 9.00 tot 2.00 uur. Het gebruik van het collegedictaat Elektronische

Nadere informatie

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

2 Algemene opbouw van een computersysteem

2 Algemene opbouw van een computersysteem Procescomputer E. Gernaat 1 Microprocessoren algemeen Informatie-verwerking zoals behandeld is momenteel vrijwel geheel overgenomen door microprocessoren. Wanneer we voortborduren op het idee van combinatorische

Nadere informatie

Les A-03 Binaire en hexadecimale getallen

Les A-03 Binaire en hexadecimale getallen Les A-03 Binaire en hexadecimale getallen In deze les wordt behandeld hoe getallen kunnen worden voorgesteld door informatie die bestaat uit reeksen 0-en en 1-en. We noemen deze informatie digitale informatie.

Nadere informatie

HOOFDSTUK 6: Logische Schakelingen

HOOFDSTUK 6: Logische Schakelingen HOOFDSTUK 6: Logische Schakelingen 1. Inleiding combinatorisch vs. sequentieel gedrag gedrag v/e circuit = relatie tussen binaire waarden uit uitgangen en binaire waarden op ingangen combinatorisch gedrag

Nadere informatie

Logische poorten. Invertor (NOT) Samenvatting Computer Architectuur 2006-2007. Hoofdstuk 7 Klaas Lauwers 1

Logische poorten. Invertor (NOT) Samenvatting Computer Architectuur 2006-2007. Hoofdstuk 7 Klaas Lauwers 1 Logische poorten and or Xor (=EOF) buffer (signaal versterken over lange afstand) Invertor (NOT) nand nor xnor Hoofdstuk 7 Klaas Lauwers 1 De Morgan Boolese Algebra Transistorniveau Transistor - Het is

Nadere informatie

scc =!F3.!F2 b) CD AB

scc =!F3.!F2 b) CD AB Computerarchitectuur en -organisatie (213030) Dinsdag 21 januari 2040, 13.30 17.00 uur 7 bladzijden met 8 opgaven 4 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam,

Nadere informatie

Analoge en Digitale Elektronica

Analoge en Digitale Elektronica Analoge en Digitale Elektronica 14 september 2007 1 2 de zit 2006-2007 Bespreek het potentiaalverloop en de stroomcomponenten doorheen een PN junctie in ongepolariseerde toestand, bij voorwaartse polarisatie,

Nadere informatie

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1 9 9 1. 2 4 0 VANTEK Discovery set N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. 1 Inhoudsopgave Binair rekenen Pulse en Countermodule blz. 3 Informatieverwerking Input en outputmodules blz.

Nadere informatie

Zelftest Inleiding Programmeren

Zelftest Inleiding Programmeren Zelftest Inleiding Programmeren Document: n0824test.fm 22/01/2013 ABIS Training & Consulting P.O. Box 220 B-3000 Leuven Belgium TRAINING & CONSULTING INLEIDING BIJ DE ZELFTEST INLEIDING PROGRAMMEREN Deze

Nadere informatie

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014

Digitale Systeem Engineering 1. Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 Digitale Systeem Engineering 1 Week 4 Toepassing: Pulse Width Modulation Jesse op den Brouw DIGSE1/2013-2014 PWM basics Het regelen van het toerental van een elektromotor kan eenvoudig worden gedaan door

Nadere informatie