Voorwoord. Als we een elektronische schakeling van naderbij bekijken, ontstaat volgend blokschema. Terugkoppeling. Opnemer Verwerking Weergave

Maat: px
Weergave met pagina beginnen:

Download "Voorwoord. Als we een elektronische schakeling van naderbij bekijken, ontstaat volgend blokschema. Terugkoppeling. Opnemer Verwerking Weergave"

Transcriptie

1 Voorwoord. Situatieschets. Men kan het "elektronica" gebied opsplitsen in twee belangrijke hoofdgroepen. Aan de ene kant de analoge technieken en aan de andere kant de digitale technieken. Als we een elektronische schakeling van naderbij bekijken, ontstaat volgend blokschema. Terugkoppeling Opnemer Verwerking Weergave Transmissielijn Transmissielijn Een opnemer of sensor converteert het te meten signaal (temperatuur, druk, toerental, ) naar een analoge spanning. Deze verplaatst zich via de transmissielijn tot aan de verwerkingseenheid, die op zijn beurt de aangeboden informatie bewerkt. De verwerkingseenheid kan bijvoorbeeld de spanningsversterking op zich nemen, of een spanningsvergelijking t.o.v. een referentieniveau doorvoeren. Eenmaal de bewerking uitgevoerd, verplaatst de informatie zich naar de weergave-eenheid. Denk maar aan een beeldscherm, een luidspreker of een motor. De stippellijn geeft aan dat er eventueel een gedeelte van het resultaat mee het nieuwe resultaat bepaalt. Men spreekt dan van een terugkoppeling. Er ontstaat een servosysteem. Op deze manier kan men eventueel het toerental van een motor constant houden bij een veranderlijke belasting. Enkele decennia geleden gebeurde de signaalverwerking volledig met analoge componenten zoals versterkerbuizen, transistoren en FET's. Er vallen wel enkele nadelen aan dit systeem op te merken. Vooreerst zijn er de storingspulsen die via de transmissielijnen op de informatie wordt gesupperponeerd. Gebeurt dit voor de verwerkingseenheid, dan zal deze de vervormde en dus foutieve informatie behandelen. De stoorpulsen worden evenzeer versterkt door de schakeling. Het foutieve resultaat komt dus op de weergaveneenheid terecht, met alle gevolgen vandien. Een tweede groot nadeel is de tolerantie die op elk type component optreedt. Willen we bijvoorbeeld een defecte transistor vervangen door een identiek type transistor, dan kan de spanningsversterking makkelijk tot % variëren. Om dit tegen te gaan zal de schakeling rond de transistor deze fouten, via tegenkoppelingen, opvangt. De schakeling wordt daardoor meestal ingewikkeld. Andere foutfactoren zijn de niet-lineariteit van de componenten en de temperatuursgevoeligheid van de schakeling. Ook het bewaren van analoge informatie blijft een moeilijke zoniet onmogelijke klus. Op dit moment zal men de signaalverwerking vanuit een ander perspectief benaderen. Informatie bestaat uit een groep van enen en nullen. Deze "logische" waarden komen in de praktijk vaak overeen met respectievelijk V (voedingsspanning) en V (massa dus). De wetenschap die zich met deze vorm van informatiebehandeling bezighoudt noemt men de "digitale technieken" en steunt zich op ooleaanse algebra als vereenvoudigingsvorm. De theorie achter dit alles is reeds lang bekend, maar kende pas zijn doorbraak op het moment dat men volledige schakelingen kon integreren op één chip. De elementaire componenten die deel uitmaken van een digitaal circuit noemt men poorten. DIGITALE TECHNIEKEN

2 De voordelen van digitale signaalverwerking zijn onder andere het eenvoudig reconstrueren van het originele signaal. Storingspulsen die het signaal opneemt over de transmissielijn worden volledig weggewerkt. Transmissielijn Zender Verwerkingseenheid Er bestaan zelfs technieken om eventuele fouten (een "" verzonden wordt als een "" ontvangen) te detecteren, te lokaliseren en eventueel te herstellen. Wiskundige bewerkingen worden kinderspel. Denk maar aan de miniatuur rekentoestellen die aantonen dat er vrij weinig componenten nodig zijn. Signaalverwerking verloopt razendsnel, tot enkele miljoenen instructie per seconde. Informatie opslag verloopt uiterst nauwkeurig op allerhande opslagmedia: geheugen IC's (OM, AM), magneetbanden, CD's enzovoort. In een digitale wereld kan ons blokschema als volgt worden voorgesteld: Terugkoppeling Opnemer Verwerking Weergave Transmissielijn Transmissielijn Geheugen Digitaal Merk op dat de opnemer en de weergave eenheid zowel analoog (potmeter, TV scherm) als digitaal (toetsenbord, liquid display) kunnen zijn. Op het moment dat één van beide analoge informatie bevat, zal er een conversie plaatsvinden tussen het analoge en digitale gedeelte. Hiervoor zorgt de analoog-digitaal convertor (ADC) en de digitaal-analoog convertor (DAC). Tracht steeds digitale informatie over de transmissielijnen te sturen, dit om eventuele storingen weg te werken. DIGITALE TECHNIEKEN

3 Terugkoppeling Opnemer ADC Verwerking DAC Weergave Transmissielijn Transmissielijn Geheugen Analoog Digitaal Analoog Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk toe op de digitale reeksen. De beperkende factor binnen deze evolutie is nog steeds de miniaturisatiemoeilijkheid. Analoge componenten zullen in ieder geval blijven bestaan. Een digitale component kan immers geen vermogens sturen. Hij kan wel een handje toesteken bij de verwerking van de bijhorende informatie. De transistor of FET vormt nog steeds de basis van elke digitale component. Over deze cursus. Deze cursus ondersteunt het ontwerpen en het gebruik van een aantal digitale bouwstenen, die vervolgens tot een complex geheel zullen leiden. Geïntegreerde schakelingen of IC's vormen de componenten binnen een digitaal systeem. Een component kan allerlei functies bevatten. Vooreerst zijn er de poorten. Dit zijn de basisblokken. Deze worden gecombineerd tot functies, en als dusdanig op de markt gebracht. Denk hierbij aan een optelschakeling, flip flops en tellers. Het is nu aan de ontwerper om, afhankelijk van het project, de geschikte functionele bouwstenen op te zoeken en deze vervolgens op een correcte manier met elkaar te verbinden. Verder is het van belang te weten dat een geïntegreerde schakeling wordt opgebouwd rond verschillende technologieën zoals: TTL, CMOS, imos, ECL enz De belangrijkste verschillen vinden we terug in de schakelsnelheden en het vermogenverbruik. Hou er tevens rekening mee dat componenten, opgebouwd rond verschillende technologieën, meestal niet rechtstreeks signalen kunnen uitwisselen. Over dit onderwerp ontvangt U meer informatie tijdens de practica lessen. De ontwerpmethodiek van de besproken functies bestaat uit een aantal stappen. We vertrekken steeds van een basisidee dat stelselmatig wordt uitgebouwd tot een component. Verder komen een aantal componenten uit de praktijk aan bod, aangevuld met voorbeelden en toepassingen. Alle schakelingen worden gecontroleerd aan de hand van simulaties. DIGITALE TECHNIEKEN

4 CLK +V S Out In +V S Out +V DIGITALE TECHNIEKEN

5 Inhoudstafel Voorwoord. Pagina. Inhoudstafel. Hoofdstuk : inaire poorten en basisfuncties.. inaire logica... De waarheidstabel en het tijdsdiagram... Elementaire basisfuncties en basispoorten... Inversie... EN-operatie... OF-operatie... Afgeleide basisfuncties en afgeleide poorten... NAND-poort... NO-poort... EXO-poort... EXNO-poort... Samenvatting logische poorten..8. Het verband tussen de logische vergelijking, het schema, de waarheidstabel en het tijdsdiagram..8. Het schema is gekend..8. De waarheidstabel is gekend... De logische functie is gekend... Het tijdsdiagram is gekend... In- en uitgangspolariteit van logische componenten... Uitgangspolariteit... Ingangspolariteit.. 8. Toepassingen.. Hoofdstuk : Vereenvoudigen van logische functies.. Minimalisatie volgens de ooleaanse algebra... Theorema's met één veranderlijke... Commutatieve en associatieve theorema's... Distributieve theorema's.. Absorptietheorema's... Theorema's van de Morgan... Consensustheorema's... Samenvatting van de belangrijkste vereenvoudigingsregels...8 Conclusies met betrekking tot de ooleaanse vereenvoudigingsregels... Enkele opgeloste voorbeelden... Minimalisatie met behulp van een Karnaughkaart... Karnaughkaart tot en met veranderlijken..8. Invullen van de Karnaughkaart..8. Vereenvoudigen van de Karnaughkaart... Onvolledige functies... Karnaughkaart voor en veranderlijken... educeren van het aantal componenten... NAND- en NO-poort als universele component... eductie van het aantal IC's... Toepassingen.. DIGITALE TECHNIEKEN Inhoudstafel

6 Hoofdstuk : Talstelsels en elementaire bewerkingen.. Talstelsels... Het decimaal talstelsel... Het hexadecimaal talstelsel... Conversiemethodes tussen de verschillende talstelsels... Van grondtal X naar decimaal... Van decimaal naar grondtal X... Van binair naar hexadecimaal... Van hexadecimaal naar binair... Voorstelling van positieve en negatieve getallen... ewerkingen op binaire getallen... De optelling... De aftrekking... De vermenigvuldiging... Overflow... Floating-point getallen... Toepassingen..8 Hoofdstuk : Codes en codeomvormers.. Numerische codes... CD-code... XC-code... AIKEN-code... GAY-code... -segment code... Alfanumerische codes... ECDIC-code... Hollerith-code... arcode... ASCII-code... Codeomvormers... DEC/CD encoder volgens de diodematrix... DEC/CD encoder met poorten... IN/DEC decoder... CD/DEC decoder... CD/-segment decoder... Codeomvormers (CD/AIKEN)... Toepassingen.. Hoofdstuk : Comparator.. -bit comparator... -bit comparator... -bit comparator... De xx8 (-bit magnitude comparator)... De xx8 als comparator voor minder dan bits... De xx8 als comparator voor meer dan bits... Toepassingen..8 DIGITALE TECHNIEKEN Inhoudstafel

7 Hoofdstuk : Multiplexer en demultiplexer.. naar multiplexer... naar demultiplexer... Ontwerpen van logische functies... Functiegenerator zonder restveranderlijken... Functiegenerator met één restveranderlijke... Functiegenerator met twee restveranderlijken..8. Ontwerpen van codeomvormers... Elementaire datacommunicatie.. asisprincipe... -bit brede seriële synchrone datatransmissie... Overwakingssysteem... Toepassingen.. Hoofdstuk : Adders.. x -bit opteller... Half adder... Full adder... Principeschakeling van een -bit opteller... -bit op-afteller... -bit afteller... -bit op-afteller... Gecombineerde op-afteller met zevensegment uitlezing... Opteller met variabele woordlengte..8. Woorden kleiner dan bits..8. Woorden groter dan bits..8. -bit serie-opteller..8. -bit CD opteller... -bit vermenigvuldiger.. 8. CD - IN codeomvormer met adders... Toepassingen.. Hoofdstuk 8 : Pariteitscontrole.. -bit pariteitsgenerator. 8.. De xx8 (-bit parity controler / checker). 8.. Oneven pariteitstransmissie. 8.. Toepassingen. 8. Hoofdstuk : Flipflops.. S flipflop... S flipflop met NO-poorten... S flipflop met NAND-poorten... Enkele nuttige toepassingen met een S flipflop.. Anti-dender schakeling... Startstop schakeling... Links/rechts sturing met vergrendeling... JK flipflop.. asisschema van een JK flipflop... Flankgevoelige JK flipflop... JK Master/Slave flipflop..8. JK flipflop met asynchrone set en reset... Toestands- en excitatietabel... Enkele voorbeeldschakelingen.. DIGITALE TECHNIEKEN Inhoudstafel

8 . D flipflop.. asisstructuur... Enkele voorbeeldschakelingen... D latch... Toepassingen.. Hoofdstuk : Toestandsmachines.. olschema (Mealy machine)... Het toestandsdiagram... Het toestandsblok... Het beslissingsblok... Het conditioneel uitgangsblok... De toestandstabel en het schema... Oplossing met D flipflops ()... Oplossing met JK flipflops ()... Interpretatie van de verboden toestanden... Een toestandsmachine volgens More... Algemene oplosmethode... Enkele uitgewerkte voorbeelden... -bit Johnsonteller... Looplicht.. Spoorwegsignalisatie.. 8. Toepassingen.. Hoofdstuk : Tellers.. Geïntegreerde synchrone tellers... De xx... De xx... De xx en xx... De xx... De xx, xx en xx... Geïntegreerde asynchrone tellers... De xx... De xx..8. De... De... Ontwerpen van synchrone tellers... -bit binaire opteller met reset... AIKEN opteller met preset naar... Toepassingen.. Literatuurverwijzing. DIGITALE TECHNIEKEN Inhoudstafel

9 . inaire logica. HOOFDSTUK inaire logica en basisfuncties. In de alledaagse taal worden voortdurend een aantal wetmatigheden gebruikt. George oole bestudeerde deze materie grondig in zijn boek "An Investigation of the Laws of Thought" en behaalde hiermee in 8 zijn doctoraatstitel. oole's doel was om op een eenduidige en exacte manier de maatschappelijke vraagstukken te beschrijven. Hij maakte hierbij gebruik van een aantal begrippen zoals: waar, en, of, niet, als en anders. Deze woorden vertaalde hij in een aantal symbolen. Verder ontwikkelde hij een aantal rekenkundige regels om zijn vergelijkingen te vereenvoudigen. Volgens oole zijn er maar twee mogelijke toestanden. Iets is "waar" of "niet waar". "Misschien" bestaat niet. Een nieuw talstelsel deed zijn intrede, namelijk het tweedelig of binair talstelsel. De theorieën en rekenkundige regels van oole werden later overgenomen in allerlei technische disciplines zoals de elektronica, de computerprogrammatie en de regeltechnieken. innen de digitale elektronica wordt het verband tussen het gesproken woord, de ooleaanse vergelijking en de hardware-realisatie als volgt voorgesteld. Positieve logica. waar = = +V (Ucc) niet waar = = V (GND) Negatieve logica. waar = = V (GND) niet waar = = +V (Ucc) Deze cursus maakt enkel gebruik van de positieve logica. Een resultaat is meestal afhankelijk van een aantal van elkaar onafhankelijke parameters. We schrijven: EN = AND = "." OF = O = "+" NIET = NOT = " " ALS = IF = "=" Een voorbeeld. We gaan buiten zwemmen (Z) als het mooi weer is (W), het zwembad open () is en er niet veel volk (V) aanwezig is. Dus: "zwemmen" is waar (Z = ) "mooi weer" waar is (W = ) "zwembad open" waar is ( = ) "veel volk" niet waar is (V = ) Z = W..V als en en Een schema geeft in de meeste gevallen een duidelijker beeld van het probleem. W Z V DIGITALE TECHNIEKEN HOOFDSTUK.

10 . De waarheidstabel en het tijdsdiagram. Naast de vergelijking in woorden, de ooleaanse vergelijking en het schema zijn er nog enkele belangrijke mogelijkheden om een systeem te beschrijven. Vooreerst de waarheidstabel. Dit is een soort spreadsheet waarbij links alle mogelijke ingangscombinaties worden vermeld, en rechts de bijhorende uitgangscombinatie. Ingangen Uitgang C A F F is waar als en C niet waar zijn en A waar. F is niet waar als C en waar zijn en A niet waar. Een tijdsdiagram geeft het verloop van de ingangstoestanden en de bijhorende uitgangstoestand in functie van de tijd weer. Een goed tijdsdiagram bevat alle mogelijke ingangscombinaties. A C F Tijdsvertraging Verder blijkt uit het tijdsdiagram dat er een bepaalde tijdsvertraging optreedt tussen een ingangsverandering en de bijhorende uitgangsverandering. Elke component binnen de schakeling bestaat uit een aantal schakeltransistoren of FET's. Deze hebben immers een bepaalde tijd nodig om van de ene toestand naar de andere over te gaan. Hoe meer componenten het signaal moet doorlopen, hoe groter de tijdsvertraging. In de praktijk bedraagt de tijdsvertraging van een component enkele nanoseconden. Merk op dat in bovenstaande voorbeelden de waarheidstabel en het tijdsdiagram dezelfde functie beschrijven.. Elementaire basisfuncties en basispoorten. De ooleaanse algebra kent slechts drie operatoren namelijk EN (AND), OF (O) en INVETEE (NIET, NOT). Hiermee kan men ALLE digitale schakelingen eenduidend omschrijven. De componenten die deze logische bewerkingen voorstellen worden ook wel POOTEN of GATES genoemd en zijn als geïntegreerde schakeling verkrijgbaar. Het grafisch symbool dat aan een component wordt toegekend volgt de IEC tekenvoorschriften. IEC staat voor International Electrotechnical Commission. DIGITALE TECHNIEKEN HOOFDSTUK.

11 . Inversie. De inverteerfunctie keert de logische waarde om. wordt en wordt. Een NOT-poort heeft slechts één ingang en één uitgang. A xx F = indien A =. F = indien A =. F F = A = / A = NOT A = A' A F A F Alle in de handel verkrijgbare elektronische componenten worden met een cijfer-letter combinatie aangeduid. Een IC binnen de commerciële TTL-reeks wordt steeds voorafgegaan door de cijfers ( is de prefix voor CMOS). De laatste cijfers geven het componentnummer weer. staat voor een invertor. Tussen het reeksnummer en het componentnummer staan een aantal letters op de plaats van xx. Deze geven meer informatie over de technologie waaruit de component is samengeteld. Enkele voorbeelden: geen letters (standaard), S (schottky), L (low power), LS (low power schottky), F (fast). Zo weet de gebruiker dat een LS, in vergelijking met een, dezelfde logische functie bevat (beiden ) maar beduidend sneller schakelt en veel minder vermogen verbruikt. Meer informatie omtrent deze materie vindt u terug in het laboschrift. Een LS bevat onafhankelijke invertoren. Vcc 8 GND. EN-operatie. De EN-functie geeft aan dat het resultaat van een bewerking waar is indien alle ingangsvoorwaarden waar zijn. De AND-poort heeft één uitgang en meerdere ingangen. Voor een -input AND geldt: A C xx F = indien A = en = en C =. F F = A.. C = AC DIGITALE TECHNIEKEN HOOFDSTUK.

12 C A F A C F. OF-operatie. De OF-functie geeft aan dat het resultaat van een bewerking waar is indien minstens één ingangsvoorwaarde waar is. De O-poort heeft één uitgang en meerdere ingangen. Voor een -input O geldt: A C F = indien A = of = of C =. F F = A+ + C C A F A C F DIGITALE TECHNIEKEN HOOFDSTUK.

13 . Afgeleide basisfuncties en afgeleide poorten. In de praktijk tracht men een schakeling te ontwerpen met een minimum aan bouwstenen. Daarom zijn er ook een aantal combinatiepoorten op de markt gebracht. Deze noemt men afgeleide poorten en bevatten een combinatieschakeling van een aantal basispoorten.. NAND-poort. De NAND-poort is de samensmelting van een AND-poort, gevolgd door een invertor. = Voor een -input NAND geldt: A C xx F = indien A = en = en C =. F F = A.. C = AC F = A.. C = AC C A AND F (NAND) A C F. NO-poort. De NO-poort is de samensmelting van een O-poort, gevolgd door een invertor. = DIGITALE TECHNIEKEN HOOFDSTUK.

14 Voor een -input NO geldt: A C xx F = indien A = of = of C =. F F = A+ + C F = A+ + C C A O F (NO) A C F. EXO-poort. Het resultaat van de EXO-functie is waar indien juist één ingangsvoorwaarde waar is. De EXO-poort is een samengestelde schakeling van de drie basispoorten. Deze poort is enkel verkrijgbaar met twee ingangen en één uitgang. = = Voor een EXO geldt: A xx8 F = indien (A = en = ) of (A = en = ). = F F = A + A = A DIGITALE TECHNIEKEN HOOFDSTUK.

15 A F A F. EXNO-poort. Het resultaat van de EXNO-functie is waar indien alle ingangsvoorwaarden aan elkaar gelijk zijn. De EXNO-poort bezit eveneens twee ingangen en is ook een samengestelde schakeling. = = = = Voor een EXNO geldt: A xx8 F = indien (A = en = ) of (A = en = ). = F F = A + A = A+ A = A A EXO F (EXNO) A F DIGITALE TECHNIEKEN HOOFDSTUK.

16 . Samenvatting logische poorten. Onderstaande tabel geeft een overzicht van de verschillende poorten die u courant in elke elektronicazaak terugvindt. Merk op dat het aanbod aan NAND- en NO-poorten vrij ruim is in vergelijking met de andere poorten. De reden daartoe is terug te vinden in het feit dat u gelijk welke schakeling kan samenstellen met enkel NO of NAND-poorten. (Theorema van de Morgan, hoofdstuk.) NOT AND O NAND NO EXO EXNO input xx inputs xx8 xx xx xx xx8 xx8 8 inputs xx xx xx inputs xx xx xx 8 inputs xx 8 inputs xx 8 8 inputs xx inputs xx Aantal poorten per IC IC nummer (TTL) IC nummer (CMOS). Het verband tussen de logische vergelijking, het schema, de waarheidstabel en het tijdsdiagram. In deze paragraaf gaan we, aan de hand van voorbeelden, de logica na die het verband tussen de logische vergelijking, het bijhorende schema, de waarheidstabel en het tijdsdiagram aantoont. Indien één van deze vier voorstellingen gekend is, kan men relatief eenvoudig de overige drie voorstellingen uitwerken.. Het schema is gekend. A F C DIGITALE TECHNIEKEN HOOFDSTUK. 8

17 De waarheidstabel. Evalueer het schema van links naar rechts. Ken aan elke poort uitgang een letter toe en zet deze eveneens in de waarheidstabel. A K L F C M Vervolledig stelselmatig de waarheidstabel, zodat u uiteindelijk op de uitgang terecht komt. K = A M = + C L = K. F = ALM.. C A K L M F De vergelijking. Evalueer het schema vanaf de uitgang naar de ingangen toe. F = ALM.. F = A.( K. ).( + C) F = A.( A. ).( + C) F = A. A..( + C) De prioriteiten binnen een logische vergelijking zijn van hoogste naar laagste: Inversie, haakjes, EXO EXNO, AND en tenslotte O. Het tijdsdiagram. Schets een tijdsdiagram waarop, indien mogelijk, alle mogelijke ingangscombinaties voorkomen. Voor een drie-bit schakeling, alle combinaties tussen en. Teken eventueel de tussenliggende logische niveaus op de verschillende poortuitgangen en construeer hieruit het verloop van F. Het resultaat van het tijdsdiagram moet uiteraard identiek zijn aan de gevonden toestanden binnen de waarheidstabel. DIGITALE TECHNIEKEN HOOFDSTUK.

18 A C K L M F. De waarheidstabel is gekend. C A F G () De functie. Elke rij waarbinnen de functie is, kan u voorstellen als een productterm (een AND-functie). () F = indien A = en = en C =. F = A C De verschillende producttermen worden vervolgens gekoppeld via een som (een O-functie). F = indien A = en = en C = of A = en = en C = of A = en = en C = of A = en = en C = of A = en = en C = F = AC+ AC+ AC+ AC+ AC De vorm waarin de logische vergelijking verschijnt noemen we een som van producttermen of standaard som vorm. In een tweede methode om deze functie te bepalen, vertrekt men van de inverse functie van F (=/G). G = AC+ AC+ AC F = G F = AC+ AC+ AC DIGITALE TECHNIEKEN HOOFDSTUK.

19 Met welke methode u de vergelijking bepaalt, hangt enkel af van het aantal enen dat de waarheidstabel bevat. Gebruik de eerste methode indien er weinig enen in de F-tabel verschijnen. Gebruik de tweede methode (de inverse functie, de G-tabel) indien er veel enen in de F-tabel staan. Merk tevens op dat eenzelfde functie onder meerdere vormen kan geschreven worden. F = AC+ AC+ AC+ AC+ AC F = AC+ AC+ AC Het schema. Het schema wordt opgesteld aan de hand van één van beide vergelijkingen. Normalerwijs kiest u de vergelijking die de minste poorten bevat. F = AC+ AC+ AC Het schema bevat invertoren, AND-poorten en NO-poort. A F C Het tijdsdiagram. A C F. De logische functie is gekend. F = A A+ C ( A) Het schema. Let op de prioriteit van de bewerkingen. DIGITALE TECHNIEKEN HOOFDSTUK.

20 A = F C De waarheidstabel. Splits de vergelijking in deelfuncties en vervolledig de waarheidstabel. F = A A+ C ( A) K = A+ C L = A K M = A F = L M C A / /A K /K L /L M F Merk op dat: F = A A+ C ( A) F = AC+ AC+ AC+ AC+ AC F = AC+ AC+ AC DIGITALE TECHNIEKEN HOOFDSTUK.

21 Het tijdsdiagram. A C F Controleer voor elke ingangscombinatie het bijhorend uitgangsniveau. Het resultaat moet natuurlijk overeenstemmen met de gevonden toestanden binnen de waarheidstabel.. Het tijdsdiagram is gekend. A C F () () ()() De waarheidstabel. epaal binnen het tijdsdiagram de verschillende ingangscombinaties en lees de bijhorende uitgangscombinatie af. U kan de tabel enkel invullen indien het tijdsdiagram alle mogelijke ingangscombinaties beschrijft. C A F () () () De functie. De functie bepalen vanaf het toestandsdiagram is onbegonnen werk. epaal F uit de waarheidstabel. F = AC+ AC+ AC F = AC+ AC+ AC+ AC+ AC DIGITALE TECHNIEKEN HOOFDSTUK.

22 Het schema. A F C. In- en uitgangspolariteit van logische componenten.. Uitgangspolariteit. De uitgang = indien de bijhorende voorwaarde binnen het symbool waar is. (hoog actieve uitgang) De uitgang = indien de bijhorende voorwaarde binnen het symbool waar is. (laag actieve uitgang). Ingangspolariteit. De bijhorende voorwaarde binnen het symbool is waar zodra de ingang =. (hoog actieve ingang) De bijhorende voorwaarde binnen het symbool is waar zodra de ingang =. (laag actieve ingang) eschouw als voorbeeld de logica van een AND en NAND-poort. A F G F = indien A = en =. G = indien A = en =. Elke schakeling kan u in principe tot één symbool herleiden. Aan onderstaande voorbeeldsymbolen worden eveneens het bijhorend schema en de logische vergelijking toegevoegd. DIGITALE TECHNIEKEN HOOFDSTUK.

23 A A F F C D G = C D G F = A+ C+ D G = F = A+ C+ D In het tweede voorbeeld duidt het cirkeltje binnen het symbool op een logische inversie. Een links van de cirkel geeft rechts een. A A C F = C F D D F = A+ C+ CD A C D = F = A C D = F E G E G F = A+ C D G = DE DIGITALE TECHNIEKEN HOOFDSTUK.

24 8. Toepassingen.. Koffieautomaat. Een koffieautomaat laat verschillende mogelijkheden toe: ZK zwarte koffie. KS koffie met suiker. KM koffie met melk. KSM koffie met suiker en melk. uiten gebruik LK LS LM LMS ZK KS KM KMS fr. De ventielen kunnen enkel bediend worden indien er elektriciteit (E) en geld (G) aanwezig zijn. Dit is de basisvoorwaarde (V). Verder moeten er voldoende grondstoffen voorradig zijn namelijk: bekers (), water (W) koffiepoeder (KP), suikerpoeder (SP) en melkpoeder (MP), zoniet gaat het bijhorende "uiten gebruik" lampje branden en wordt deze keuzeoptie uitgeschakeld. Zodra de klant een correcte keuze heeft gemaakt plaats de automaat eerst een beker (P) en controleert of deze op de juiste plaats terecht komt (G). Vervolgens worden, afhankelijk van de keuze, de verschillende grondstoffen toegevoegd. Om problemen te voorkomen mag de gebruiker slechts één keuzetoets bedienen, zoniet gebeurt er niets. V = E. G basisvoorwaarde LK = W + + KP buiten gebruik zwarte koffie LS = W + + KP + SP buiten gebruik koffie met sui ker LM = W + + KP + MP buiten gebruik koffie met melk LSM = W + + KP + SP + MP buiten gebruik koffie met melk en sui ker KZK = ZK. KS. KM. KSM enkel " zwarte koffie" ingedrukt KKS = ZK. KS. KM. KSM enkel " koffie met sui ker" ingedrukt KKM = ZK. KS. KM. KSM enkel " koffie met melk" ingedrukt KKSM = ZK. KS. KM. KSM enkel " koffie met sui ker en melk" ingedrukt ( ) P V. KZK. LK KKS. LS KKM. LM KKSM. LSM be ker plaatsen = ( )+ ( )+ ( )+ ( ) ( ) HW = G. KZK + KKS + KKM + KKSM heet water toevoegen K = G. ( KZK + KKS + KKM + KKSM) koffiepoeder toevoegen S = G. ( KKS + KKSM) sui ker poeder toevoegen M = G. KKM +KKSM melkpoeder toevoegen ( ) DIGITALE TECHNIEKEN HOOFDSTUK.

25 Druktoetsen ZK KS KM KZK KKS > > Ventielen HW=K S KSM KKM > M KKSM P Sensoren G E G V P > Grondstoffen Controle lampjes W > LK LK KP SP > LS LS MP > LM LM > LSM LSM Hoog actieve in- en uitgangen. DIGITALE TECHNIEKEN HOOFDSTUK.

26 . Vervolledig de waarheidstabel en het tijdsdiagram van onderstaande schema's. epaal de logische functie en vat de volledige schakeling samen in één symbool. A E > F > F C D > G H D C A E F G H F A C D F DIGITALE TECHNIEKEN HOOFDSTUK. 8

27 A D F > F = E C C A D E F F A C F. Vervolledig de waarheidstabel en het tijdsdiagram. Teken het schema en het bijhorend symbool. F= A. + CAC... C A DIGITALE TECHNIEKEN HOOFDSTUK.

28 A C F ( ) + F = A+. C AD. D C A A C D F DIGITALE TECHNIEKEN HOOFDSTUK.

29 . epaal op twee manieren de functie, teken het schema en vervolledig het tijdsdiagram. C A F A C F C A F A C F DIGITALE TECHNIEKEN HOOFDSTUK.

30 . Vervolledig de waarheidstabel. epaal de bijhorende functie en teken het schema. A C F A C F A C F8 A C F8 DIGITALE TECHNIEKEN HOOFDSTUK.

31 HOOFDSTUK Vereenvoudigen van logische functies. Dit hoofdstuk behandelt de verschillende vereenvoudigingstechnieken die worden toegepast om het aantal poorten binnen een logische functie te reduceren. Tot hier toe kunnen we alle logische functies realiseren volgens de AND-O-NOT structuur (= som van producttermen). Zodra we de gevonden vergelijkingen kunnen vereenvoudigen, betekent dit op de eerste plaats dat het aantal poorten vermindert. De ontwerper zal hierdoor dezelfde schakeling realiseren met minder componenten ( IC's ). Hierdoor wordt het gebruikte printoppervlak ook kleiner. Al deze factoren maken dat de totale kostprijs van een project drastisch kan dalen. De eenvoudigste vergelijking is daarom niet de meest economische. Indien de functie, na vereenvoudiging, bijvoorbeeld twee invertoren + één -input AND-poort + één -input NAND-poort bevat, betekent dit hardwarematig drie IC's. Elk IC bevat hierbij een aantal niet-gebruikte poorten. Een xx bevat invertoren en is dus maar voor / benut. Daarom trachten we de gevonden vergelijkingen om te vormen tot een minimum aan componenten. Zo blijkt bijvoorbeeld dat een invertor eveneens kan gerealiseerd worden met een NAND-poort. De xx verdwijnt hierdoor uit het schema. We behandelen achtereenvolgens twee minimalisatietechnieken. - minimalisatie volgens de ooleaanse algebra. - minimaliseren met behulp van een Karnaughkaart. Computergestuurd minimaliseren kan ook. Er bestaan immers verschillende minimalisatieprogramma's. Deze maken meestal gebruik van de Quine - McCluskey methode, opgebouwd rond vereenvoudigingstabellen. Met deze methode kan de computer vrij snel een vereenvoudiging uitwerken voor functies met tientallen veranderlijken. Hiervoor is de Karnaughkaart zeker niet geschikt.. Minimalisatie volgens de ooleaanse Algebra. Omstreeks 8 stelde George oole in zijn doctoraatsstudie een aantal rekenkundige regels op die leiden tot het minimaliseren van complexe binaire systemen. Stelselmatig worden de verschillende rekenregels opgesteld en gecontroleerd. Tevens worden er een aantal nuttige tips aan toegevoegd die in het verdere verloop van deze cursus van belang kunnen zijn.. Theorema's met één veranderlijke. A+ A = A AA. = A A = A A+ = A. = A A+ = A A. = A+ A = AA. = ij het bewijs van de juistheid van bovenstaande vergelijkingen gaan we uit van de toestand die één veranderlijke kan aannemen. A is of. Per vergelijking stellen we alle mogelijke combinaties samen en trekken uit het resultaat ons besluit. + = A+ A = A AA. = A + =. =. = Zodra alle ingangen van een O of AND-poort met elkaar worden verbonden, volgt de uitgang het aange- DIGITALE TECHNIEKEN HOOFDSTUK.

32 legde ingangsniveau. Merk op dat dit ook zo is voor poorten met meer dan twee ingangen. A. A.. A = A en A + A + + A = A. + = A+ = A+ A = + = + = + = Zodra één ingang van de O-poort constant op staat, blijft de uitgang constant hoog. A. =. =. = AA. =. =. = Zodra één ingang van de AND-poort constant op staat, blijft de uitgang constant laag. + = A+ = A A. = A + =. =. = Zodra één of meerdere ingangen van de O-poort constant zijn, volgt de uitgang het ingangssignaal. Zodra één of meerdere ingangen van de AND-poort constant zijn, volgt de uitgang het ingangssignaal. A = A A = ( A) = = = = = = Na een dubbele inversie behoudt de uitgang het niveau van de ingang.. Commutatieve en associatieve theorema's. A+ = + A A+ + C = ( A+ ) + C = A+ ( + C) = + ( A+ C) A. = A. AC.. = ( A. ). C = A.( C. ) =.( AC. ) innen een logische bewerking, met dezelfde bewerkingsprioriteit, mogen de verschillende parameters van plaats veranderen en er kunnen haakjes aan worden toegevoegd of weggelaten.. Distributieve theorema's. A. + AC. = A.( + C) ( A+ ).( A+ C) = A+ C. innen een logische bewerking hebben de invertoren de hoogste prioriteit, gevolgd door de haakjes, de AND en de O. Het uitwerken van de haakjes volgt dezelfde rekenregels als deze binnen de numerische wiskunde. ewijs van het tweede distributieve theorema: ( A+ ).( A+ C) = AA. + A. + AC. + C. = A( + + C) + C. = A+ C.. Absorptietheorema's. A.( A+ ) = A A+ A. = A A.( A+ ) = A. A+ A. = A+ ewijs: DIGITALE TECHNIEKEN HOOFDSTUK.

33 A.( A+ ) = AA. + A. A.( A+ ) = AA. + A. = A+ A. = + A. = A.( + ) = A. = A A+ A. = A.( + ) + A. = A+ A. + A. = A+.( A+ A) = A+. Theorema's van de Morgan. A. = A+ A. = A+ A+ = A. A+ = A. De theorema's van de Morgan geven een flexibele overgang van AND (NAND) naar NO (O) en omgekeerd. Deze rekenregels worden frequent toegepast bij het vereenvoudigen. De theorema's worden bewezen aan de hand van een waarheidstabel. A. = A+ A / /A /A./ A+ /(A+) A. = ( A. ) = ( A+ ) = A+ A+ = A. A / /A /A+/ A. /(A.) A+ = ( A+ ) = ( A. ) = A. DIGITALE TECHNIEKEN HOOFDSTUK.

34 . Consensustheorema's. A. + C. + AC. = A. + AC. ( A+ ).( + C).( A+ C) = ( A+ ).( A+ C) Deze deelvergelijkingen zijn het moeilijks op te sporen binnen de logische functie. De minimalisatie gebeurt in twee stappen. Eerst wordt de functie geëxpandeerd en vervolgens gereduceerd. A. + C. + AC. = A. + ( A+ A). C. + AC. = A. + AC.. + AC.. + AC. = A..( + C) + AC..( + ) = A. + AC. ( A+ ).( + C).( A+ C) = ( A. + + AC. + C. ).( A+ C) = ( A. + + AC. + C. ).( A+ C) = ( A ( + + C) + AC. ).( A+ C) = ( + AC. ).( A+ C) = A. + AAC.. + C. + ACC.. = A. + C. + AC. = AA. + A. + CA. + C. = ( A+ ).( A+ C). Samenvatting van de belangrijkste vereenvoudigingsregels. A+ A = A AA. = A A = A A+ = A. = A A+ = A A. = A. = A+ A+ A = AA. = A+ = A. A.( A+ ) = A A+ A. = A A.( A+ ) = A. A+ A. = A+ A. + C. + AC. = A. + AC. ( A+ ).( + C).( A+ C) = ( A+ ).( A+ C) DIGITALE TECHNIEKEN HOOFDSTUK.

35 .8 Conclusies met betrekking tot de ooleaanse vereenvoudigingsregels. * De prioriteiten binnen een logische functie zijn achtereenvolgens (van hoogste naar laagste): invertor, haakjes, EXO EXNO, AND en O. Het AND-symbool "." wordt meestal weggelaten. Dit vereenvoudigt de schrijfwijze. * Interpreteer de ooleaanse vereenvoudigingsregels vrij ruim. Zo kan elke veranderlijke in principe een volledige deelfunctie bevatten. Enkele voorbeelden.. = ( A. = A) AC + AC = AC ( A + A = A) AA + AA A = AA + A ( A+ A= A+ ) * Een AND, NAND, O en NO-functie opbouwen met de respectievelijke poorten, waarvan niet alle ingangen gebruikt worden, kan. Om praktische redenen mogen de niet-gebruikte ingangen NOOIT loshangen. We gaan de correcte aansluiting na voor een -input functie, gebruikmakend van een -input poort. F=A. voor een -input AND geldt. F=A.. of F=A..A of F=A.. A F F F +V F=A..=A. F=A.A.=A. F=A..=A. F=A+ voor een -input O geldt. F=A++ of F=A++A of F=A++ A F F F F=A++=A+ F=A+A+=A+ F=A++=A+ F=/(A.) voor een -input NAND geldt. F=/(A..) of F=/(A..A) of F=/(A..) F=/(A+) voor een -input NO geldt. F=/(A++) of F=/(A++A) of F=/(A++) Niet-gebruikte ingangen op een AND- en NAND-poort verbinden met Ucc of met een gebruikte ingang. Niet-gebruikte ingangen op een O- en NO-poort verbinden met GND of met een gebruikte ingang. * innen de TTL-reeks zijn er enkel -input O-poorten verkrijgbaar (xx). evat de vergelijking meer dan twee O-termen, dan kan men de vergelijking opsplitsen over meerdere O-poorten. Voor een - input O geldt: F = A++C+D = ((A+)+C)+D = (A+)+(C+D) DIGITALE TECHNIEKEN HOOFDSTUK.

36 A A C D F C D F F=((A+)+C)+D F=(A+)+(C+D) eide schema's bevatten eenzelfde aantal poorten en zijn daardoor gelijkwaardig. Praktisch geeft men de voorkeur aan de oplossing volgens F. Elke poort heeft een bepaalde vertragingstijd van enkele nanoseconden. Deze stellen we voor door één tijdseenheid per poort. In het schema volgens F is de maximale vertragingstijd tijdseenheden. Voor F geldt een maximale vertraging van tijdseenheden. F reageert, in worst-case, % sneller dan F. A C D F F * innen een som van producttermen mag men elke productterm meerdere malen gebruiken tijdens de vereenvoudiging. ijvoorbeeld: A + AC + C = A + C A + AC + C = A + AC + AC + C = A( + C) + ( + A) C = A + C. Enkele opgeloste voorbeelden. Herwerk de vergelijking steeds tot een som van producttermen. Tracht ondertussen zoveel mogelijk veranderlijken te elimineren. Vervang eventuele EXO- EXNO-functies door hun logische vergelijking vooraleer tot vereenvoudiging over te gaan. * A+ AC + CA =? = A AC + C( A + ) = ( A+ )( A+ C) + AC+ C = A + A + AC + C + AC + C = A+ C DIGITALE TECHNIEKEN HOOFDSTUK.

37 * AC( AD + D) AC + A + ( C + D)=? = AC( ( AD + D) )+ AC + A C + D = AC( A + D)+ AC + ( A + ) CD = AC + ACD + AC + ACD + CD = AC + ACD + CD ( ) ( ) = CA+ AD+ D = CA+ D = AC + CD *( A+ CD) (( C+ DC)+ C+ D)+ A+ C+ A C=? = ( A+ CD) (( C+ D)+ C+ D)+ AC+ AC+ AC = A + CD + AC + AC + AC = ACD + AC + AC + AC = ACD + AC + AC ( ) ( ) Afhankelijk van de gekozen weg levert een verdere vereenvoudiging twee oplossingen. = ACD + AC + AC = ACD + AC + AC = A( CD + C)+ AC = C( AD + A)+ AC = AD + AC + AC = CD + AC + AC eide functies zijn juist en bevatten evenveel poorten.. Minimalisatie met behulp van een Karnaughkaart. Minimalisatie met de ooleaanse vergelijkingen is meestal een moeizame taak. Het is immers niet meteen duidelijk of het resultaat wel de eenvoudigste vorm is. Een Karnaughkaart daarentegen geeft ons een grafische voorstelling van een functie. De vereenvoudiging gebeurt in principe visueel, maar volgt wel de minimalisatieregels van oole. Veitch ontwikkelde in de basis van het grafisch vereenvoudigen. Later werd deze methode door Karnaugh verfijnd en publiceerde deze in "A map method for synthesis of combinational logic circuits". Een Karnaughkaart is opgebouwd uit een aantal cellen. Elke cel stelt één regel uit de waarheidstabel voor. De volgorde waarin de cellen op de kaart worden geplaatst is wel van belang. Het aantal cellen wordt bepaald door het aantal veranderlijke binnen de functie. Voor n-veranderlijken bevat de Karnaughkaart n cellen. Kaarten tot veranderlijken zijn vrij simpel te vereenvoudigen. Vanaf variabelen wordt het wel iets ingewikkelder. Vanaf variabelen wordt het een onbegonnen werk en komt de Karnaugkaart niet meer in aanmerking. DIGITALE TECHNIEKEN HOOFDSTUK.

38 . Karnaughkaart tot en met veranderlijken. F A F C, A F D,C,A () () () () veranderlijken. F(A,). De kaart wordt opgesplitst in cellen. Elke cel stelt één van de vier ingangscombinaties voor. Horizontaal lezen we de waarde van A, vertikaal de waarde van. A en mag u eventueel van plaats verwisselen. ovenaan rechts staat de functienaam van de tabel. In bovenstaande tabel betekent cel() dat A = en =. Cel () staat voor A = en =. veranderlijken. F(A,,C). veranderlijken geeft een kaart met 8 cellen. Let vooral op de verticale celnummering (,,, i.p.v.,,, ). Naast elkaar liggende cellen mogen, voor de vereenvoudiging, maar één bit van elkaar verschillen. Vandaar deze volgorde. U kan de kaart eventueel roteren. Noteer horizontaal twee variabelen naar keuze, maar behoud de specifieke celnummering. Zowel in boven- als onderstaande kaarten geeft cel () de toestand C = = en A = aan. F,A F,C C A () () veranderlijken. F(A,,C,D). De kaart bevat cellen. Zowel horizontaal als vertikaal volgt de celnummering de opeenvolgende codes,,,. A = C = en = D = geeft cel ().. Invullen van de Karnaughkaart. Het invullen van de Karnaughkaart gebeurt langs de waarheidstabel of vanaf de logische functie. De waarheidstabel bevat alle combinaties waarbij de functie is. Hierdoor verloopt het overbrengen van deze informatie snel en correct. Indien de waarheidstabel nog niet aanwezig is, kan de kaart rechtstreeks worden ingevuld vanaf de functie. Herwerk de functie, met de ooleaanse algebra, tot een som van producttermen en ga dan over naar de Karnaughkaart. Een Karnaughkaart bevat enkel de enen van de functie of tabel. De nullen worden meestal weggelaten. Dit vergemakkelijkt het "lezen" van de kaart. Het zijn immers enkel de enen die betrekking hebben tot de vereenvoudigingsregels. DIGITALE TECHNIEKEN HOOFDSTUK. 8

39 Van waarheidstabel naar Karnaughkaart. C A F celnr. () () () () () () () () F A F A C, () () C, () () () () () () Het celnummer geeft de positie aan binnen de kaart. Op celnummer () is F =. Op () is F =, dus deze cel blijft leeg op de kaart. Van logische functie naar Karnaughkaart. F = AC+ D+ AC () + ( ) + () De verschillende producttermen bevatten niet alle veranderlijken. Volgens de ooleaanse vergelijking A + /A = kan elke term worden aangevuld met de resterende veranderlijken. () geeft na substitutie twee termen (a) en (b). () en () vormen hierdoor beide vier termen (a) (d) en (a) (d). F = ACD + ACD + ( a) + ( b) + ACD + ACD + ACD + ACD + ( a) + ( b) + ( c) + ( d) + ACD + ACD + ACD + ACD ( a) + ( b) + ( c) + ( d) Elke productterm geeft aan waar de functie wordt. F,A F,A F,A D,C (b) D,C () D,C (d) (b) () () (c) (c) (a) (a) () () () () (d) (b) (a) () () () Een geoefend gebruiker kan rechtstreeks vanaf de gereduceerde vergelijking de Karnaughkaart vervolledigen. Het expanderen van F wordt hierdoor overbodig. DIGITALE TECHNIEKEN HOOFDSTUK.

40 . Vereenvoudigen van een Karnaughkaart. Tracht op de Karnaughkaart een aantal, naast of onder elkaar, gegroepeerde enen te selecteren. Combineer enkel horizontaal of vertikaal, nooit schuin. De omsloten enen vormen dus een rechthoek of vierkant. Het aantal enen binnen een selectie is een macht van (=,, 8, ). De buitenste horizontale cellen mogen als aangrenzend worden beschouwd, alsook de buitenste verticale cellen. Deze zijn dus combineerbaar. (Vergelijk met een torus) De vier hoekpunten van de kaart zijn eveneens combineerbaar met elkaar. Elke cel mag meermaals binnen verschillende selecties voorkomen (A = A + A). Onderstaande voorbeelden illustreren de vereenvoudigingsregels. F C,D G C,D A, A, De vereenvoudiging binnen een selectie steunt op de rekenregel A + /A =. De selectie binnen F geeft een som van twee producttermen. Na vereenvoudiging volgens de ooleaanse algebra verdwijnt de veranderlijke D. F = ACD + ACD = AC( D + D) = AC Grafisch vereenvoudigen we als volgt. Ga na hoe de veranderlijken zich gedragen binnen de selectie. A en C zijn beiden, is en D is veranderlijk. Noteer de constanten als een productterm en laat de veranderlijke variabele weg. Dus: F = AC Karnaughkaart G bevat één selectie van en één van cellen. eide selecties geven een productterm en worden langs een O-term met elkaar verbonden. G = ACD+ ACD+ ACD+ ACD+ ACD+ ACD = ACD + ACD + AD = AD + AD Na een grafische vereenvoudiging bekomen we hetzelfde resultaat. G= A+ AD ij selectie van twee cellen verdwijnt er één variabele uit de productterm. ij een groep van vier cellen zijn er twee variabelen verdwenen enz DIGITALE TECHNIEKEN HOOFDSTUK.

41 Neem de vereenvoudigingslussen zo groot mogelijk. Dan pas bekomt u de eenvoudigste functie. Cellen die niet te groeperen zijn, worden als afzonderlijke producttermen geschreven en bevatten dus alle veranderlijken. Tracht de functie steeds met een minimum aan lussen te vereenvoudigen. Onderstaand voorbeeld illustreert het resultaat bij een foutieve selectie van de groepen. H C,D H C,D A, A, echts is de selectie correct verlopen. Dit geeft als resultaat: H = ACD+ AC+CD De linkse oplossing daarentegen bevat een groep van twee cellen i.p.v. vier, met als resultaat dat deze productterm veranderlijke A bevat. H = ACD+ AC+ACD Een goed vereenvoudigde vergelijking langs de Karnaughkaart kan nooit verder vereenvoudigd worden met de ooleaanse algebra. Het resultaat van de linkse kaart kan u wel verder vereenvoudigen. H = ACD+ AC+ ACD = ACD + C( A + AD) = ACD + C( A + D) = ACD + AC + CD Een bijkomend voordeel van de Karnaughkaart is ook dat u dadelijk ziet dat er al dan niet meerdere eenvoudigste oplossingen bestaan. I C,D I C,D A, A, I = AC + ACD + CD ofwel I = AC + ACD + AD DIGITALE TECHNIEKEN HOOFDSTUK.

42 . Onvolledige functies. Normaal beschrijft een functie alle mogelijke combinaties. Soms kan het voorkomen dat een aantal combinaties niet kunnen verwezenlijkt worden. Neem bijvoorbeeld een -bit schakeling die wordt aangestuurd langs een CD-teller. Een CD-teller brengt achtereenvolgens een binair getal van t.e.m. op de ingangen van de schakeling. De codes komen niet voor. In de desbetreffende cellen van de Karnaughkaart wordt een "X" geplaatst. X staat voor "don't care". Het maakt dus niets uit of de functie op die bepaalde plaatsen of is. De combinatie komt immers toch nooit voor. Een andere mogelijkheid is dat een bepaalde ingangscombinatie, om welke reden dan ook, nooit mag voorkomen. Deze verboden toestand duiden we aan met een "-". Ook hier maakt het niet uit of de functie op die bepaalde plaats of is. x staat voor don't care. - staat voor een verboden toestand. Een verboden toestand en een don't care neemt aan een vereenvoudigingslus deel indien we de lus hiermee kunnen vergroten.verboden toestanden en don't cares binnen een selectie worden vanaf dan als beschouwd. Verboden toestanden en don't cares die buiten de selectie liggen zijn hierdoor. Een voorbeeld. Vier schakelaars (A,, C en D) bedienen één lamp (L). De lamp licht op zodra er meer dan één schakelaar gesloten wordt. Schakelaars A en kunnen nooit samen open zijn. Voor de logische niveaus geldt: lamp aan =, schakelaar gesloten =. L,A D,C x x x x L = A+ C+ D. Karnaughkaart voor en veranderlijken. Alle naast en onder elkaar liggende cellen verschillen juist één bit van elkaar. Hierdoor wordt het mogelijk de bovengenoemde vereenvoudigingsregels toe te passen. Vanaf vijf veranderlijken (= cellen) is het onmogelijk deze logica op één kaart te brengen en zijn we genoodzaakt meerdere -bit kaarten te gebruiken en deze met elkaar te vergelijken. Neem voor vijf veranderlijken twee kaarten van cellen. ij de ene kaart is de vijfde veranderlijke, op de andere. Vereenvoudigen binnen elke kaart blijft nog steeds mogelijk. Vergeet tijdens het noteren van de productterm de toestand van de vijfde veranderlijke niet. Deze is binnen de selectie immers constant. Over de twee kaarten heen vereenvoudigen kan pas indien de selecties kunnen gespiegeld worden rond de scheidingslijn. DIGITALE TECHNIEKEN HOOFDSTUK.

43 F C, E,D F = EDA + DA +DC A= A= Een functie van zes variabelen geeft vier -bit kaarten. Vereenvoudigen over de vier kaarten heen kan zodra de groepen zich spiegelen langs de horizontale en verticale scheidingslijnen. G D,C A= A= F,E x x x x = x x x = x x G = FEDC+ DC+EDC. educeren van het aantal componenten. Een ontwerper vindt het belangrijk een minimum aan componenten in zijn schakeling te verwerken. Eerst zal hij de vergelijkingen vereenvoudigen. Dit geeft een functie met een minimum aan poorten. Vervolgens tracht hij een aantal poorten te vervangen door een ander type poorten om zodoende het aantal IC's te beperken. Vooreerst gaan we na waarom de NAND- en NO-poort zo goed vertegenwoordigd zijn binnen het ICpakket. Nadien gaan we aan de hand van een voorbeeld na hoe we tot een IC-reductie kunnen overgaan. DIGITALE TECHNIEKEN HOOFDSTUK.

44 . NAND- en NO-poort als universele component. Aan de hand van onderstaande schema's wordt aangetoond dat alle basispoorten (NOT, AND en O) te vervangen zijn door een NAND- of NO-schema. A F = A A F A F F = AA = A F = A + A = A A F = A A F = A F A F F = A + A F = A + A F A F = A + F F = A. Elke logische vergelijking, evenals de EXO en EXNO, kan als een som van producttermen geschreven worden. Dit wil dus zeggen dat alle vergelijkingen als NAND- of NO-schema's te tekenen zijn.. eductie van het aantal IC's. Aan de hand van onderstaande Karnaughkaart worden een aantal schema's uitgewerkt. Eerst met elementaire basispoorten, gevolgd door een NO- en NAND-schakeling. F C,D A, x x x x F = C + D + ACD DIGITALE TECHNIEKEN HOOFDSTUK.

45 Oplossing met elementaire basispoorten. } invertor xx input AND xx IC' s input AND input O } xx De drie AND-poorten kunnen samen in één xx. Om binnen de TTL-reeks te bijven, moet de -input O worden opgesplitst over twee -input O-poorten. D C F A Oplossing met NO-poorten. F = C + D + ACD = + C+ + D+ A+ C+ D invertoren xx input NO input NO } xx Deze vergelijking levert geen componentenbesparing op. Oplossing met NAND-poorten. F = C + D + ACD = C. D. ACD IC' s invertor xx input NAND input NAND } xx IC' s Deze oplossing is duidelijk te verkiezen boven de andere. De schakeling bevat IC's. DIGITALE TECHNIEKEN HOOFDSTUK.

46 D C F A F A C D. Toepassingen.. Vereenvoudig onderstaande vergelijkingen volgens de ooleaanse algebra. ( ) + + F = A+. C A. C F = ( A+ ).( C+ A)+ AC.. ( ) F = AC.. + AC.. + AC.. + AC.. + AC.. (( ( ) )( + )) ( )( + ) + F = A. + A+ A+ CD.. A. D A F = A. +. A. C Vereenvoudig tot input NAND' s. Vereenvoudig onderstaande waarheidstabellen volgens de ooleaanse algebra. C A F F F F DIGITALE TECHNIEKEN HOOFDSTUK.

47 D C A F F F F8. epaal de uitgangsfunctie en vereenvoudig deze volgens de ooleaanse algebra. Teken het vereenvoudigd schema. X > > F Y Z > >. epaal de functies van onderstaande Karnaughkaarten. F A F A F A DIGITALE TECHNIEKEN HOOFDSTUK.

48 F A F A F A C, C, C, F,A F8,A D,C D,C F,A F,A D,C x D,C - x - x x x - F C, E,D A= A= DIGITALE TECHNIEKEN HOOFDSTUK. 8

49 F F F C, E,D x x x x A= A= C, E,D x x x x x x x A= A= C, E,D x - x x - - x - A= A=. Vereenvoudig toepassing, en met een Karnaughkaart.. Elektronische dobbelsteen. Een elektronische dobbelsteen wordt voorgesteld met LED's. Afhankelijk van de ingangscombinatie (CA), zal de juiste LED-combinatie oplichten. Merk op dat er maar functies worden opgesteld, nl: a=g, b=f, c=e en d. DIGITALE TECHNIEKEN HOOFDSTUK.

50 C A Dobbelsteen decoder C A b e g d a c f C A a,g b,f c,e d C A a = g b = f c = e d a,g A b,f A c,e A d A C, C, C, C, DIGITALE TECHNIEKEN HOOFDSTUK.

51 . Vloeistofmeting. Een niveau-alarm overwaakt een vloeistofreservoir. Het signaal, afkomstig van de niveaumeter, wordt via een analoog-digitaal convertie omgevormd tot een -bit code (DCA). De alarm-led brandt indien het niveau () of > (). Voor alle andere combinaties blijft de OK-LED actief. Teken het schema met NAND-poorten. Niveaumeter Niveau decoder Niveaumeter ADC Alarm OK D C A Alarm OK D C A Alarm OK DIGITALE TECHNIEKEN HOOFDSTUK.

52 Alarm,A OK,A D,C D,C 8. Het boerenprobleem. Een boer heeft een geit, een hongerige hond en een grote schuur, een opslagplaats van bloemkolen. De boer pendelt regelmatig met zijn dieren tussen het veld en de schuur. Als hij de hond en de geit alleen samen achterlaat ( in de schuur of op het veld) bestaat er een grote kans dat er van zijn geit niet veel meer overblijft. lijft de geit alleen achter in de schuur, dan zal deze zeker zijn kolenoogst oppeuzelen. (Op het veld mag de geit de kolen opeten.) Om een katastrofe te verkomen vraagt de boer ons een draagbare schakeling te ontwerpen. De schakeling bestaat uit schakelaars (oer, Hond, Geit en Kool) en één lampje. Met een gedoofd lampje zit de boer veilig. Voor de ingangen geldt een "" indien ze in de schuur zitten en een "" als ze op het veld zijn. Ontwerp de bijhorende schakeling. G H K F F,G H,K DIGITALE TECHNIEKEN HOOFDSTUK.

53 . De somcontroler. Ontwerp een schakeling met als ingangen twee -bit woorden (, en A, A ). Op de uitgang verschijnt een "" zodra de som van beide groter of gelijk is aan. A A (A+) S A A A+ S S, A,A. Vereenvoudig onderstaande Karnaughkaart. Teken het schema achtereenvolgens met elementaire basispoorten, met NAND's en met NO's. Welke van de drie opstellingen is het voordeligst? F,A D,C x x x DIGITALE TECHNIEKEN HOOFDSTUK.

54 HOOFDSTUK Talstelsels en elementaire bewerkingen. Een digitaal verwerkingssysteem kan enkel binaire informatie verwerken. Een reeks enen en nullen wordt door de buitenwereld moeilijk geïnterpreteerd, vandaar dat er voortdurend een conversie plaatsvindt tussen deze binaire informatie en een leesbare code. De meest gebruikte talstelsels zijn natuurlijk het binaire voor de machine en het decimale voor de gebruiker. Omdat het binair getal al snel vrij veel bits omvat gaan programmeurs steeds over naar een hexadecimale voorstelling. Dit hoofdstuk beschrijft een drietal talstelsels (binair, decimaal en hexadecimaal) met de bijhorende conversietechnieken. Verder komen een aantal basisbewerkingen (+ - x) op gehele getallen, binnen het binaire talstelsel, aan bod.. Talstelsels. Een getal bestaat uit een aantal tekens (of digits), kortweg cijfers genoemd. De positie van een cijfer binnen een getal bepaalt zijn belangrijkheid of gewicht. Uiterst rechts het laagst beduidende cijfer (Least Significant Digit) en uiterst links het meest beduidende cijfer (Most Significant Digit).. Het decimaal talstelsel. Het decimaal talstelsel kent tien symbolen ( ) en heeft als grondtal. Men kan een decimaal getal als volgt ontleden., = = + + +, =, decimaal.. Het binair talstelsel. Het binair talstelsel volgt een identieke logica. i is twee. Het grondtal is dus twee en de symbolen zijn en. Men spreekt nu van een Inary digit of bit. Uiterst links staat de Most Significant it (MS) en rechts de Least Significant it (LS)., = = , =, decimaal.. Het hexadecimaal talstelsel. Om een lange string van bits in verkorte vorm weer te geven wordt veelal het hexadecimale equivalent gebruikt. Deze voorstellingsmethode vind je terug bij de lagere programmeertalen zoals assembler. Het hexadecimaal talstelsel heeft als grondtal en bevat daarom symbolen ( en A F)., = = + +, = 8, decimaal. epaalde cijfercombinaties komen in verschillende talstelsels terug maar stellen daarom niet hetzelfde getal voor. Om verwarring te voorkomen geeft het grondtal, als subscript, het bedoelde talstelsel weer. () () () De opeenvolgende getallen construeren binnen een bepaald talstelsel, gebeurt als volgt: vertrek van het eerste symbool en ga telkens één symbool verder. Zijn alle symbolen gebruikt, begin dan terug vanaf het laagste en verhoog vervolgens de hogergelegen digit. DIGITALE TECHNIEKEN HOOFDSTUK.

Labo digitale technieken

Labo digitale technieken .. Het gebied "elektronica" is reeds geruime tijd onderverdeeld in twee specialiteiten, namelijk de analoge en de digitale technieken. Binnen analoge schakelingen gebeurt de signaalverwerking met lineaire

Nadere informatie

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher.

No part of this book may be reproduced in any form, by print, photoprint, microfilm or any other means without written permission of the publisher. De Backer, Kris / Kenens, Liesbeth Digitale Systemen / Kris De Backer & Liesbeth Kenens; Geel: Campinia Media vzw, 2004-2de druk sept. 2005; 216 p;index; 25,5 cm; gelijmd. ISBN: 90.356.1184.5; NUGI 854;

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 19 2 Elementaire bewerkingen 1 BINAIRE GETALLEN In het vorige hoofdstuk heb je gezien dat rijen bits worden gebruikt om lettertekens, getallen, kleuren, geluid en video

Nadere informatie

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken.

Praktisch bestaan er enkele eenvoudige methoden om een decimaal getal om te zetten naar een binair getal. We bespreken hier de twee technieken. Talstelsels 1 Algemeenheden Digitale systemen werken met nullen en enen omdat dit elektronisch gemakkelijke te verwezenlijken is. De transistor kent enkel twee toestanden (geleiden of sperren) Hierdoor

Nadere informatie

Logische functies. Negatie

Logische functies. Negatie Pa ELO/ICT Logische functies inaire elementen slechts twee mogelijkheden voorbeeld : het regent slechts twee toestanden : waar of niet waar Voorstellen met LETTERSYMOOL = het regent overeenkomst :» als

Nadere informatie

Hoofdstuk 3: Algebra van Boole

Hoofdstuk 3: Algebra van Boole Hoofdstuk 3: lgebra van oole ij het ontwerpen van elektronische systemen is het uit economisch standpunt van belang dat er uiteindelijk een praktische realisatie tot stand komt met zo weinig mogelijk I's.

Nadere informatie

Hoofdstuk 6: Digitale signalen

Hoofdstuk 6: Digitale signalen Hoofdstuk 6: Digitale signalen 6. Algemeenheden Het decimale talstelsel is het meest gebruikte talstelsel om getallen voor te stellen. Hierin worden symbolen gebruikt ( t.e.m. 9 ) die ondubbelzinning de

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 1 EE40: Digitale Systemen BSc. EE, e jaar, 202-203, vragencollege Arjan van Genderen, Stephan Wong, Computer Engineering 28-3-203 Delft University of Technology Challenge the future Huiswerk hoorcollege

Nadere informatie

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen

Informatica 2. Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen Informatica 2 Met uitwerkingen n.a.v. document van Elvire Theelen in Luc bijgewerkt door Peter van Diepen 1 Op dit lesmateriaal is een Creative Commons licentie van toepassing. 2014 Remie Woudt remie.woudt@gmail.com

Nadere informatie

Sequentiële schakelingen

Sequentiële schakelingen Gebaseerd op geheugen elementen Worden opgedeeld in synchrone systemen» scheiding tussen wat er wordt opgeslagen (data) wanneer het wordt opgeslagen (klok) asynchrone systemen» Puls om geheugen op te zetten

Nadere informatie

2 Elementaire bewerkingen

2 Elementaire bewerkingen Hoofdstuk 2 Elementaire bewerkingen 17 2 Elementaire bewerkingen In dit hoofdstuk leer je hoe werken met binaire getallen en hexadecimale getallen omgezet wordt naar een decimaal getal en omgekeerd. Vervolgens

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 17 november 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 2 Binaire getallen, BCD, Gray, ASCII, 7-segment Jesse op den Brouw INLDIG/205-206 Decimaal talstelsel Ons talstelsel is een zogenaamd positioneel talstelsel. Een getal

Nadere informatie

Digitaal is een magisch woord

Digitaal is een magisch woord Digitaal is een magisch woord Hieronder leest u over digitale logica. De theorie en de praktijk. Dit werk moet nog uitgebreid worden met meer informatie over TTL, CMOS en varianten. Daarnaast kunnen de

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 4 Binaire optellers, tellen, vermenigvuldigen, delen Jesse op den Brouw INLDIG/25-26 Optellen Optellen is één van meest gebruikte rekenkundige operatie in digitale systemen.

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u

Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek. Vakcode 5A050, 19 januari 2005, 14:00u-17:00u Faculteit Elektrotechniek - Leerstoel ES Tentamen Schakeltechniek Vakcode 5A050, 19 januari 2005, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen is het gebruik

Nadere informatie

Multiplexers en demultiplexers MULTIPLEXERS

Multiplexers en demultiplexers MULTIPLEXERS Pa EO/ICT Kim - dep. IWT Multiplexers en demultiplexers MU transmissie DEMU merikaans symbool multiplexer merikaans symbool demultiplexer ingangen uitgang ingang uitgangen controle controle MU/DEMU DIGITE

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 19 januari 2004, 9:00u-12:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u

Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek. Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u Faculteit Elektrotechniek - Capaciteitsgroep ICS Tentamen Schakeltechniek Vakcodes 5A010/5A050, 26 november 2003, 14:00u-17:00u achternaam : voorletters : identiteitsnummer : opleiding : Tijdens dit tentamen

Nadere informatie

Digitale technieken Combinatorische en sequentiële logica

Digitale technieken Combinatorische en sequentiële logica Digitale technieken Combinatorische en sequentiële logica ir. Patrick Colleman 1 Inleiding. 1 0.1 Systemen. 1 0.2 Voordelen van digitale systemen 4 0.3 Nadelen van digitale systemen 6 Hoofdstuk 1 : Logische

Nadere informatie

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde

Alles op de kop. Dobbelsteen D02i werkt precies andersom! Johan Smilde Alles op de kop Johan Smilde Dobbelsteen D02i werkt precies andersom! Deze dobbelsteen heeft omgekeerde uitgangen ten opzichte van de vorige. Dat wil zeggen dat de uitgangen hier niet actief hoog zijn

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 1 Introductie Jesse op den Brouw INLDIG/2015-2016 Even voorstellen... ing. J.E.J. (Jesse) op den Brouw Elektrotechniek Digitale Techniek Software, hardware Embedded systems

Nadere informatie

Processoren. Marc Seutter & David N. Jansen 12 November 2013

Processoren. Marc Seutter & David N. Jansen 12 November 2013 Processoren Marc Seutter & David N. Jansen 12 November 2013 Leerdoel opbouw van de hardware in een computer je construeert een (eenvoudige) processor je schrijft een (kort) assembly-programma je kunt uitleggen:

Nadere informatie

Combinatorisch tegenover sequentieel

Combinatorisch tegenover sequentieel PBa ELO/ICT Combinatorisch tegenover sequentieel soorten digitale schakelingen : combinatorisch of sequentieel combinatorische schakelingen combinatie van (al dan niet verschillende) (basis)poorten toestand

Nadere informatie

Het grondtal van het decimaal stelsel is 10. Voorbeeld: het getal 8365. Poorten De tellereenheid Mevr. Loncke 1

Het grondtal van het decimaal stelsel is 10. Voorbeeld: het getal 8365. Poorten De tellereenheid Mevr. Loncke 1 1. Inleiding In vorig hoofdstuk hebben we het gehad over invoerelementen, verwerking en uitvoerelementen. Je hebt geleerd dat al deze elementen maar 2 toestanden kennen en kunnen verwerken, namelijk de

Nadere informatie

Logische Schakelingen

Logische Schakelingen Logische Schakelingen Reader Elektro 2.2 Erik Dahmen Techniek en Gebouwde Omgeving Logische Schakelingen Inhoudsopgave: Definitie Logische Schakelingen EN / NEN functie OF / NOF functie NIET-functie De

Nadere informatie

Logische schakelingen

Logische schakelingen Logische schakelingen Logische schakelingen Stel: we maken een schakeling met twee schakelaars en één lamp. Dan kunnen we dat op de volgende manieren doen: We maken een serieschakeling van de twee schakelaars:

Nadere informatie

4,7. Praktische-opdracht door een scholier 1959 woorden 1 juni keer beoordeeld

4,7. Praktische-opdracht door een scholier 1959 woorden 1 juni keer beoordeeld Praktische-opdracht door een scholier 1959 woorden 1 juni 2001 4,7 331 keer beoordeeld Vak Wiskunde Tientallig stelsel In een tientallig stelsel heb je de getallen 0 t/m 9 tot je beschikking. Zoals je

Nadere informatie

Logische algebra. 1. Wat zijn Booleaanse variabelen? 2. Bewerkingen op Booleaanse variabelen. 2.1 Inversie. 2.2 Product

Logische algebra. 1. Wat zijn Booleaanse variabelen? 2. Bewerkingen op Booleaanse variabelen. 2.1 Inversie. 2.2 Product Logische algebra e blokken combinatorische logica vormen een belangrijk deel van de digitale elektronica. In een blok combinatorische logica wordt van een aantal digitale ingangssignalen een aantal digitale

Nadere informatie

Studentnummer:... Opleiding:...

Studentnummer:... Opleiding:... Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Antwoorden Systeembord 25012010. Fysische informatica voor de onderbouw havo/vwo

Antwoorden Systeembord 25012010. Fysische informatica voor de onderbouw havo/vwo Fysische informatica voor de onderbouw havo/vwo 1 Inhoud: Antwoorden Systeembord 25012010 2. De invoer- en uitvoercomponenten...3 2.1 De drukschakelaar....3 2.2 Geluidsensor...3 2.3 Variabele spanning....3

Nadere informatie

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding:

vrijdag 20 januari 2006 Blad 1 tijd: uur achternaam: voorletters: identiteitsnummer: opleiding: vrijdag 20 januari 2006 Blad 1 Tijdens dit tentamen is het geruik van rekenmachine of computer niet toegestaan. Vul je antwoorden in op dit formulier. Je dient dit formulier aan het einde van het tentamen

Nadere informatie

Deeltoets Digitale technieken

Deeltoets Digitale technieken Deeltoets Digitale technieken André Deutz 22 oktober, 2007 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Binair Binair = tweewaardig Beperkt aantal mogelijke waarden (discreet aantal in amplitude) Wij zijn gewoon aan decimaal (tiendelig)

Binair Binair = tweewaardig Beperkt aantal mogelijke waarden (discreet aantal in amplitude) Wij zijn gewoon aan decimaal (tiendelig) Binair Binair = tweewaardig Beperkt aantal mogelijke waarden (discreet aantal in amplitude) Wij zijn gewoon aan decimaal (tiendelig) In elektronische realisatie zijn 10 verschillende toestanden moeilijk

Nadere informatie

Antwoorden zijn afgedrukt!!!!!!!

Antwoorden zijn afgedrukt!!!!!!! Computerorganisatie INF/TEL (233) februari 2, 9. 2.3 uur 8 bladzijden met 9 opgaven 3 bladzijden met documentatie Let op: Vul het tentamenbriefje volledig in (d.w.z. naam, studentnummer, naam vak, vakcode,

Nadere informatie

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim

Jan Genoe KHLim. Reken schakelingen. Jan Genoe KHLim Jan Genoe KHLim Meestal aangewend in digitale computers optellers optellers-aftrekkers Vermenigvuldigers ingebed in een grotere rekeneenheid ALU (Arithmetic and logical unit) 2 Talstelsels definitie Tiendelig

Nadere informatie

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN

Vereenvoudigen van logische vergelijkingen. formules uit de logische algebra. de methode van Quine en McCluskey KARNAUGH-KAART MET 2 VERANDERLIJKEN Pa ELO/IT irk Smets Vereenvoudigen van logische vergelijkingen formules uit de logische algebra met vallen en opstaan? Venn-diagrammen tot 3 variabelen een Karnaugh-kaart in principe tot 6 variabelen handig

Nadere informatie

Proeftentamen Digitale technieken

Proeftentamen Digitale technieken Proeftentamen Digitale technieken André Deutz October 17, 2007 De opgaven kunnen uiteraard in willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

Analoge en Digitale Elektronica

Analoge en Digitale Elektronica Analoge en Digitale Elektronica 14 september 2007 1 2 de zit 2006-2007 Bespreek het potentiaalverloop en de stroomcomponenten doorheen een PN junctie in ongepolariseerde toestand, bij voorwaartse polarisatie,

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Studiebelasting: 3 EC Semester: EP1.1, EQ1D.1 Verantwoordelijke docenten: J.E.J. op den Brouw (Brw) Opbouw module. OEdeel kwt sbu theo pract proj toetswijze bs -th1 1 50 21 Open vragen 1..10 -pr1 1 34

Nadere informatie

De Arduino-microcontroller in de motorvoertuigentechniek (2)

De Arduino-microcontroller in de motorvoertuigentechniek (2) De Arduino-microcontroller in de motorvoertuigentechniek (2) E. Gernaat (ISBN 978-90-79302-11-6) 1 Procescomputer 1.1 Microprocessoren algemeen De informatie-verwerking zoals is behandeld, is vrijwel geheel

Nadere informatie

Hoofdstuk 4. Digitale techniek

Hoofdstuk 4. Digitale techniek Hoofdstuk 4 Digitale techniek 1 A C & =1 F Figuur 4.1: Combinatorische schakeling. A C & & F A = & F C Figuur 4.2: Drie-input AND. A C _ >1 & F Figuur 4.3: Don t care voorbeeld A? F Figuur 4.4: Onbekende

Nadere informatie

Proef Natuurkunde Practica hoofdstuk 3

Proef Natuurkunde Practica hoofdstuk 3 Proef Natuurkunde Practica hoofdstuk 3 Proef door een scholier 1045 woorden 9 februari 2009 5,9 13 keer beoordeeld Vak Methode Natuurkunde Natuurkunde overal Verslag over proef 3.1 A. Hoe ziet de ijkkromme

Nadere informatie

Breuken met letters WISNET-HBO. update juli 2013

Breuken met letters WISNET-HBO. update juli 2013 Breuken met letters WISNET-HBO update juli 2013 De bedoeling van deze les is het repeteren met pen en papier van het werken met breuken. Steeds wordt bij gebruik van letters verondersteld dat de noemers

Nadere informatie

Inleiding Digitale Techniek

Inleiding Digitale Techniek Inleiding Digitale Techniek Week 2 Binaire getallen, BCD, Gray, ASCII, 7-segment Jesse op den Brouw INLDIG/205-206 Talstelsels Wij mensen zijn opgegroeid met het rekenen in het tientallig of decimaal talstelsel,

Nadere informatie

Talstelsels en getalnotaties (oplmodel)

Talstelsels en getalnotaties (oplmodel) Talstelsels en getalnotaties (oplmodel) herhalingsvragen 1. Waarom werken computers binair? Omdat binaire computers veel makkelijker te maken is. De kans op fouten is ook veel kleiner. het spanningsverschil

Nadere informatie

Oefeningen Digitale Elektronica (I), deel 4

Oefeningen Digitale Elektronica (I), deel 4 Oefeningen Digitale Elektronica (I), deel 4 Oefeningen op min en maxtermen, decoders, demultiplexers en multiplexers (hoofdstuk 3, 3.6 3.7) Wat moet ik kunnen na deze oefeningen? Ik kan de minterm en maxtermrealisatie

Nadere informatie

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2)

De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) De AT90CAN microprocessor van ATMEL in de motorvoertuigentechniek (2) Timloto o.s. / E. Gernaat / ISBN 978-90-79302-06-2 Op dit werk is de Creative Commens Licentie van toepassing. Uitgave: september 2012

Nadere informatie

Inhoudsopgave. Pag. Tot slot 33 Onderdelen voor aanvulling 34

Inhoudsopgave. Pag. Tot slot 33 Onderdelen voor aanvulling 34 Logic 1-3- Colofon Auteur: Eindredactie: Thijs A. Afman Joost van den Brink Dit is een uitgave van Brink Techniek 2005. Deze uitgave mag vrij worden gekopieerd binnen educatieve instellingen. Deze uitgave

Nadere informatie

Meetopdrachten Poortschakelingen 1 met Multisim

Meetopdrachten Poortschakelingen 1 met Multisim Meetopdrachten Poortschakelingen 1 met Multisim In dit document leest u hoe u de meetopdrachten bij de les Poortschakelingen-1 (lescode 5-30.3) kunt uitvoeren met de simulatiesoftware van Multisim. Dit

Nadere informatie

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2

EE1410: Digitale Systemen BSc. EE, 1e jaar, , vragencollege 2 EE4: Digitale Systemen BSc. EE, e jaar, 22-23, vragencollege 2 Arjan van Genderen, Stephan Wong, Computer Engineering 7-6-23 Delft University of Technology Challenge the future Vragencollege Tentamen dinsdag

Nadere informatie

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica

Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Hoofdstuk 4: Ontwerpen van combinatorische schakelingen Nand - nor logica Na de geziene leerstof zijn we stilaan in staat om praktisch toepasbare digitale schakelingen de ontwerpen en te realiseren. ij

Nadere informatie

2 Algemene opbouw van een computersysteem

2 Algemene opbouw van een computersysteem Procescomputer E. Gernaat 1 Microprocessoren algemeen Informatie-verwerking zoals behandeld is momenteel vrijwel geheel overgenomen door microprocessoren. Wanneer we voortborduren op het idee van combinatorische

Nadere informatie

Rekenen met cijfers en letters

Rekenen met cijfers en letters Rekenen met cijfers en letters Maerlant College Brielle 5 oktober 009 c Swier Garst - RGO Middelharnis Inhoudsopgave Rekenen met gehele getallen 7. De gehele getallen.....................................

Nadere informatie

Lab Webdesign: Javascript 3 maart 2008

Lab Webdesign: Javascript 3 maart 2008 H5: OPERATORS In dit hoofdstuk zullen we het hebben over de operators (of ook wel: operatoren) in JavaScript waarmee allerlei rekenkundige en logische bewerkingen kunnen worden uitgevoerd. Daarbij zullen

Nadere informatie

Digitale systemen. Hoofdstuk 6. 6.1 De digitale regelaar

Digitale systemen. Hoofdstuk 6. 6.1 De digitale regelaar Hoofdstuk 6 Digitale systemen Doelstellingen 1. Weten dat digitale systemen andere stabiliteitsvoorwaarden hebben In deze tijd van digitalisatie is het gebruik van computers in regelkringen alom.denk maar

Nadere informatie

Talstelsels, getalnotaties en Ascii code

Talstelsels, getalnotaties en Ascii code Talstelsels, getalnotaties en Ascii code 1 Algemeenheden Digitale systemen werken met nullen en enen omdat dit elektronisch gemakkelijke te verwezenlijken is. De transistor wordt in digitale systemen als

Nadere informatie

b) Teken op de bijlage welke lampjes van het klokje branden om 19:45:52. Schrijf eronder hoe je dit bepaald/berekend hebt. (3p)

b) Teken op de bijlage welke lampjes van het klokje branden om 19:45:52. Schrijf eronder hoe je dit bepaald/berekend hebt. (3p) NATUURKUNDE KLAS 4 PW HOOFDSTUK PW HOOFDSTUK 3-23/03/2011 Totaal: 3 opgaven, 29 punten. Gebruik eigen BINAS toegestaan. Opgave 1: binair klokje Er bestaan klokjes die de tijd binair weergeven. Zie figuur

Nadere informatie

Les A-03 Binaire en hexadecimale getallen

Les A-03 Binaire en hexadecimale getallen Les A-03 Binaire en hexadecimale getallen In deze les wordt behandeld hoe getallen kunnen worden voorgesteld door informatie die bestaat uit reeksen 0-en en 1-en. We noemen deze informatie digitale informatie.

Nadere informatie

Uitwerkingen Rekenen met cijfers en letters

Uitwerkingen Rekenen met cijfers en letters Uitwerkingen Rekenen met cijfers en letters Maerlant College Brielle 5 oktober 2009 c Swier Garst - RGO Middelharnis 2 Inhoudsopgave Rekenen met gehele getallen 7. De gehele getallen.....................................

Nadere informatie

Slimme schakelingen (2)

Slimme schakelingen (2) Slimme schakelingen (2) Technische informatica in de zorg Thijs Harleman Modulecode: TMGZ-AMAL23 23 februari 2015 1 Overzicht college Doel van dit college: Verdiepen van kennis en inzicht van het ontwerpen

Nadere informatie

Stoeien met de tabellen (deel 4) Met multiplexers dobbelsteen 5 bouwen: tabel naar keus

Stoeien met de tabellen (deel 4) Met multiplexers dobbelsteen 5 bouwen: tabel naar keus Stoeien met de tabellen (deel 4) Met multiplexers dobbelsteen 5 bouwen: tabel naar keus Johan Smilde Het is niet echt moeilijk om met CMOS-multiplexers van het type 4519, die zijn toegepast bij de dynamische

Nadere informatie

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen

7,6. Samenvatting door A woorden 12 april keer beoordeeld. Natuurkunde. Natuurkunde Systemen. Systemen Samenvatting door A. 1243 woorden 12 april 2013 7,6 12 keer beoordeeld Vak Natuurkunde Natuurkunde Systemen Systemen We onderscheiden 3 soorten gegevensverwerkende systemen: meetsysteem: meet een grootheid

Nadere informatie

Hfdst. 2: COMBINATORISCH PROGRAMMEREN

Hfdst. 2: COMBINATORISCH PROGRAMMEREN 2.1. Basisinstructies: 2.1.1. Ja-functie: Indien je een normaal open schakelaar bedient, moet de lamp oplichten. Waarheidstabel: Booleaanse schrijfwijze: Q0.0 = I0.0 2.1.2. Niet-functie: Waarheidstabel:

Nadere informatie

Labo-oefeningen Automatisatie (Experimentele fase)

Labo-oefeningen Automatisatie (Experimentele fase) Praktische oefeningen Automatisatie Ivan Maesen IM 14-11-2004 2-1 Labo-oefeningen Automatisatie (Experimentele fase) Deze Praktische oefeningen kunnen gebruikt worden voor de Labosessies van het vak Automatisatie

Nadere informatie

Basisvaardigheden algebra. Willem van Ravenstein. 2012 Den Haag

Basisvaardigheden algebra. Willem van Ravenstein. 2012 Den Haag Basisvaardigheden algebra Willem van Ravenstein 2012 Den Haag 1. Variabelen Rekenenis het werken met getallen. Er zijn vier hoofdbewerkingen: optellen, aftrekken, vermenigvuldigen en delen. Verder ken

Nadere informatie

Hoofdstuk 1: Analoog versus digitaal

Hoofdstuk 1: Analoog versus digitaal Hoofdstuk : Analoog versus digitaal. Inleiding De noodzaak tot uitwisseling van informatie bestaat al sinds het ontstaan der mensheid. Vooral met behulp van de menselijke zintuigen, zoals het spreken en

Nadere informatie

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1

VANTEK Discovery set. N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. N991240#1 9 9 1. 2 4 0 VANTEK Discovery set N. B. De OPITEC bouwpakketten zijn gericht op het onderwijs. 1 Inhoudsopgave Binair rekenen Pulse en Countermodule blz. 3 Informatieverwerking Input en outputmodules blz.

Nadere informatie

0 of laag niveau V verboden zone 1 of hoog niveau. Voorbeeld van een digitaal signaal als functie van de tijd

0 of laag niveau V verboden zone 1 of hoog niveau. Voorbeeld van een digitaal signaal als functie van de tijd 5. Herhalingsvragen 1. Leg met eigen woorden en figuren uit: Wat is het verschil tussen analoog en digitaal? Analoog is continue, er zijn oneindig veel mogelijkheden tussen minimum en maximum. Digitaal

Nadere informatie

+ = Talstelsels. Maar wat is dan: -

+ = Talstelsels. Maar wat is dan: - Talstelsels Wie leert rekenen doet dat in het begin vaak met z n vingers erbij: 1 + 4 = Elke vinger krijgt een naam : één, twee,.tien. Eigenlijk is er helemaal geen sprake van rekenen, maar van tellen:

Nadere informatie

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis

VRIJ TECHNISCH INSTITUUT Burg.Geyskensstraat 11 3580 BERINGEN. De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Burg.Geyskensstraat 11 3580 BERINGEN De PLC geïntegreerd in de PC. Vak: Toegepaste informatica Auteur: Ludwig Theunis Versie: vrijdag 2 november 2007 2 Toegepaste informatica 1 De Microprocessor Zowel

Nadere informatie

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D.

b) Geef het schema van een minimale realisatie met uitsluitend NANDs en inverters voor uitgang D. Basisbegrippen Digitale Techniek (213001) 9 november 3000, 13.30 17.00 uur 8 bladzijden met 10 opgaven Aanwijzingen bij het maken van het tentamen: 1. Beantwoord de vragen uitsluitend op de aangegeven

Nadere informatie

Breuksplitsen WISNET-HBO NHL. update juli 20014

Breuksplitsen WISNET-HBO NHL. update juli 20014 Breuksplitsen WISNET-HBO NHL update juli 20014 1 Inleiding Bij sommige opleidingen is het belangrijk dat er enige vaardigheid ontwikkeld wordt om grote breuken te manipuleren en om te zetten in een aantal

Nadere informatie

Les B-02 Technologie: elektronische schakelingen

Les B-02 Technologie: elektronische schakelingen Les B-02 Technologie: elektronische schakelingen 2004, David Lans In de module A heb je geleerd hoe informatie (getallen, tekens, beeldpunten) door een binaire waarde, een reeks 0-en en 1-en, kan worden

Nadere informatie

Digitale technieken Deeltoets II

Digitale technieken Deeltoets II Digitale technieken Deeltoets II André Deutz 11 januari, 2008 De opgaven kunnen uiteraard in een willekeurige volgorde gemaakt worden geef heel duidelijk aan op welke opgave een antwoord gegegeven wordt.

Nadere informatie

THEORIE TALSTELSELS. 1 x 10 0 = 1 (een getal tot de macht 0 = 1) 8 x 10 1 = 80 2 x 10 2 = x 10 3 = Opgeteld: 9281d(ecimaal)

THEORIE TALSTELSELS. 1 x 10 0 = 1 (een getal tot de macht 0 = 1) 8 x 10 1 = 80 2 x 10 2 = x 10 3 = Opgeteld: 9281d(ecimaal) THEORIE TALSTELSELS De binaire code Het geheugenelement van de computer kan slechts twee verschillende waarden bevatten. De schakelingen uit de computer werken daarom met een tweetallig ofwel binair stelsel.

Nadere informatie

3.1 Haakjes wegwerken [1]

3.1 Haakjes wegwerken [1] 3.1 Haakjes wegwerken [1] Oppervlakte rechthoek (Manier 1): Opp. = l b = (a + b) c = (a + b)c Oppervlakte rechthoek (Manier 2): Opp. = Opp. Groen + Opp. Rood = l b + l b = a c + b c = ac + bc We hebben

Nadere informatie

Lights Out. 1 Inleiding

Lights Out. 1 Inleiding Lights Out 1 Inleiding Het spel Lights Out is een elektronisch spel dat gelanceerd werd in 1995 door Tiger Electronics. Het originele spel heeft een bord met 25 lampjes in een rooster van 5 rijen en 5

Nadere informatie

Tentamen Elektronische Schakelingen (ET1205-D2)

Tentamen Elektronische Schakelingen (ET1205-D2) Vul op alle formulieren die je inlevert je naam en studienummer in. Tentamen Elektronische chakelingen (ET1205-2) atum: donderdag 30 augustus 2007 Tijd: 09.00 12.00 uur Naam: tudienummer: Cijfer Lees dit

Nadere informatie

logische schakelingen & logica

logische schakelingen & logica 2016 logische schakelingen & logica F. Vonk versie 2 14-6-2016 Inhoudsopgave 1. inleiding... - 2-2. optellen... - 3-3. logische poorten... - 6-4. waarheidstabellen... - 8-5. logische schakelingen... -

Nadere informatie

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN

OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN OPGAVEN BIJ HET VAK INLEIDING DIGITALE TECHNIEK MET UITWERKINGEN J.E.J. op den Brouw De Haagse Hogeschool Opleiding Elektrotechniek 28 maart 25 J.E.J.opdenBrouw@hhs.nl Week.. Ontwerp een omschakelbare

Nadere informatie

Hoofdstuk 5: Signaalverwerking

Hoofdstuk 5: Signaalverwerking Hoofdstuk 5: Signaalverwerking Natuurkunde VWO 2011/2012 www.lyceo.nl Hoofdstuk 5: Signaalverwerking Natuurkunde 1. Mechanica 2. Golven en straling 3. Elektriciteit en magnetisme 4. Warmteleer Rechtlijnige

Nadere informatie

8.1 Herleiden [1] Herleiden bij vermenigvuldigen: -5 3a 6b 8c = -720abc 1) Vermenigvuldigen cijfers (let op teken) 2) Letters op alfabetische volgorde

8.1 Herleiden [1] Herleiden bij vermenigvuldigen: -5 3a 6b 8c = -720abc 1) Vermenigvuldigen cijfers (let op teken) 2) Letters op alfabetische volgorde 8.1 Herleiden [1] Herleiden bij vermenigvuldigen: -5 3a 6b 8c = -720abc 1) Vermenigvuldigen cijfers (let op teken) 2) Letters op alfabetische volgorde Optellen: 5a + 3b + 2a + 6b = 7a + 9b 1) Alleen gelijksoortige

Nadere informatie

Digitale Systeem Engineering 2

Digitale Systeem Engineering 2 Digitale Systeem Engineering 2 Week 2 Toestandsmachines (vervolg) Jesse op den Brouw DIGSE2/2016-2017 Herkenningsautomaat Een typische sequentiële machine is een herkenningsautomaat of patroonherkenner.

Nadere informatie

Dossier Pneumatische Schakellogica

Dossier Pneumatische Schakellogica Dossier Pneumatische Schakellogica Elke pneumatische sturing is volgens een bepaalde logica opgebouwd. Deze logica bepaalt de werking van de schakeling. In dit dossier bespreken we de verschillende pneumatische

Nadere informatie

SYSTEMEN 11/3/2009. Deze toets bestaat uit 3 opgaven (28 punten). Gebruik eigen grafische rekenmachine en BINAS toegestaan. Veel succes!

SYSTEMEN 11/3/2009. Deze toets bestaat uit 3 opgaven (28 punten). Gebruik eigen grafische rekenmachine en BINAS toegestaan. Veel succes! NATUURKUNDE KLAS 4 PROEFWERK HOOFDSTUK 3: AUTOMATISCHE SYSTEMEN OOFDSTUK 3: A 11/3/2009 Deze toets bestaat uit 3 opgaven (28 punten). Gebruik eigen grafische rekenmachine en BINAS toegestaan. Veel succes!

Nadere informatie

Willem van Ravenstein

Willem van Ravenstein Willem van Ravenstein 1. Variabelen Rekenen is het werken met getallen. Er zijn vier hoofdbewerkingen: optellen, aftrekken, vermenigvuldigen en delen. Verder ken je de bewerkingen machtsverheffen en worteltrekken.

Nadere informatie

Fysische Informatica met FLEC

Fysische Informatica met FLEC Fysische Informatica met FLEC Inleiding De werking van de schakelingen die je gemaakt hebt bij het onderwerp fysische informatica kunnen op 2 manieren gecontroleerd worden. De eerste manier is met behulp

Nadere informatie

Combinatorische schakelingen

Combinatorische schakelingen Practicum 1: Combinatorische schakelingen Groep A.6: Lennert Acke Pieter Schuddinck Kristof Vandoorne Steven Werbrouck Inhoudstabel 1. Doelstellingen... 2 2. Voorbereiding... 3 3. Hardware-practicum...

Nadere informatie

Opdracht week 4 INLDIG 1

Opdracht week 4 INLDIG 1 Opdracht week 4 Binair-naar-BCD omzetting Inleiding In de digitale techniek worden getallen opgeslagen in het binaire talstelsel. Rekenschakelingen zijn zo eenvoudig te ontwerpen. Helaas is het aflezen

Nadere informatie

Instructies zijn niet alleen visueel, maar ook auditief, met hoogkwalitatief ingesproken geluid (geen computerstem).

Instructies zijn niet alleen visueel, maar ook auditief, met hoogkwalitatief ingesproken geluid (geen computerstem). Getallen 3 Doelgroep Getallen 3 is bedoeld voor leerlingen in klas 3-5 van de havo, klas 3-6 van het vwo en in mbo 3&4. Het programma is bijzonder geschikt voor groepen waarin niveauverschillen bestaan.

Nadere informatie

5 FORMULES EN FUNCTIES

5 FORMULES EN FUNCTIES 72 5 FORMULES EN FUNCTIES Dit hoofdstuk behandelt één van de belangrijkste aspecten van spreadsheet programma s: het rekenen met formules en functies. 5.1 Formules invoeren Bij dit onderwerp gebruikt u

Nadere informatie

Sequentiële Logica. Processoren 24 november 2014

Sequentiële Logica. Processoren 24 november 2014 Sequentiële Logica Processoren 24 november 2014 Inhoud Eindige automaten Schakelingen met geheugen Realisatie van eindige automaten Registers, schuifregisters, tellers, etc. Geheugen Herinnering van week

Nadere informatie

Rekenen aan wortels Werkblad =

Rekenen aan wortels Werkblad = Rekenen aan wortels Werkblad 546121 = Vooraf De vragen en opdrachten in dit werkblad die vooraf gegaan worden door, moeten schriftelijk worden beantwoord. Daarbij moet altijd duidelijk zijn hoe de antwoorden

Nadere informatie

3. Structuren in de taal

3. Structuren in de taal 3. Structuren in de taal In dit hoofdstuk behandelen we de belangrijkst econtrolestructuren die in de algoritmiek gebruikt worden. Dit zijn o.a. de opeenvolging, selectie en lussen (herhaling). Vóór we

Nadere informatie

Memoriseren: Een getal is deelbaar door 10 als het laatste cijfer een 0 is. Of: Een getal is deelbaar door 10 als het eindigt op 0.

Memoriseren: Een getal is deelbaar door 10 als het laatste cijfer een 0 is. Of: Een getal is deelbaar door 10 als het eindigt op 0. REKENEN VIJFDE KLAS en/of ZESDE KLAS Luc Cielen 1. REGELS VAN DEELBAARHEID. Luc Cielen: Regels van deelbaarheid, grootste gemene deler en kleinste gemeen veelvoud 1 Deelbaarheid door 10, 100, 1000. Door

Nadere informatie

Bijlage 11 - Toetsenmateriaal

Bijlage 11 - Toetsenmateriaal Bijlage - Toetsenmateriaal Toets Module In de eerste module worden de getallen behandeld: - Natuurlijke getallen en talstelsels - Gemiddelde - mediaan - Getallenas en assenstelsel - Gehele getallen met

Nadere informatie

PARADOXEN 2 Dr. Luc Gheysens

PARADOXEN 2 Dr. Luc Gheysens PARADOXEN Dr. Luc Gheysens SPELEN MET ONEINDIG Historische nota De Griekse filosoof Zeno (ca. 90-0 v. Chr.) bedacht een aantal paradoen om aan te tonen dat beweging eigenlijk een illusie is. De meest bekende

Nadere informatie

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw

Studiewijzer Inleiding Digitale Techniek, versie 1.6, , J.E.J. op den Brouw 2018/2019 Elektrotechniek Semester 1.1 Studiewijzer Inleiding Digitale Techniek (E-INLDIG-13 ) 3 studiepunten Verantwoordelijk docent: Jesse op den Brouw J.E.J.opdenBrouw@hhs.nl Overige docent(en): Ben

Nadere informatie